|
Go up
|
— |
— |
|
|
3proxy-0.9.4-r2.apk
|
374 KiB |
|
|
|
3proxy-doc-0.9.4-r2.apk
|
25 KiB |
|
|
|
3proxy-openrc-0.9.4-r2.apk
|
1.6 KiB |
|
|
|
66-0.8.2.1-r0.apk
|
279 KiB |
|
|
|
66-dbg-0.8.2.1-r0.apk
|
701 KiB |
|
|
|
66-dev-0.8.2.1-r0.apk
|
1.7 MiB |
|
|
|
66-doc-0.8.2.1-r0.apk
|
190 KiB |
|
|
|
66-init-0.8.2.1-r0.apk
|
1.5 KiB |
|
|
|
66-tools-0.1.2.0-r0.apk
|
53 KiB |
|
|
|
66-tools-dbg-0.1.2.0-r0.apk
|
103 KiB |
|
|
|
66-tools-dev-0.1.2.0-r0.apk
|
2.0 KiB |
|
|
|
66-tools-doc-0.1.2.0-r0.apk
|
39 KiB |
|
|
|
66-tools-nsrules-0.1.2.0-r0.apk
|
2.9 KiB |
|
|
|
9base-6-r2.apk
|
1.9 MiB |
|
|
|
9base-doc-6-r2.apk
|
64 KiB |
|
|
|
9base-troff-6-r2.apk
|
487 KiB |
|
|
|
a2jmidid-9-r3.apk
|
30 KiB |
|
|
|
a2jmidid-doc-9-r3.apk
|
4.2 KiB |
|
|
|
abc-0_git20240102-r0.apk
|
4.9 MiB |
|
|
|
abnfgen-0.21-r0.apk
|
18 KiB |
|
|
|
abnfgen-doc-0.21-r0.apk
|
5.1 KiB |
|
|
|
ace-of-penguins-1.4-r3.apk
|
156 KiB |
|
|
|
ace-of-penguins-doc-1.4-r3.apk
|
49 KiB |
|
|
|
acmetool-0.2.2-r13.apk
|
4.7 MiB |
|
|
|
acmetool-doc-0.2.2-r13.apk
|
47 KiB |
|
|
|
adbd-0_git20250325-r1.apk
|
46 KiB |
|
|
|
adguardhome-0.107.62-r0.apk
|
11 MiB |
|
|
|
adguardhome-openrc-0.107.62-r0.apk
|
2.2 KiB |
|
|
|
adjtimex-1.29-r0.apk
|
21 KiB |
|
|
|
adjtimex-doc-1.29-r0.apk
|
7.1 KiB |
|
|
|
admesh-0.98.5-r0.apk
|
27 KiB |
|
|
|
admesh-dev-0.98.5-r0.apk
|
4.0 KiB |
|
|
|
admesh-doc-0.98.5-r0.apk
|
23 KiB |
|
|
|
advancemame-3.9-r4.apk
|
12 MiB |
|
|
|
advancemame-data-3.9-r4.apk
|
5.8 MiB |
|
|
|
advancemame-doc-3.9-r4.apk
|
374 KiB |
|
|
|
advancemame-menu-3.9-r4.apk
|
953 KiB |
|
|
|
advancemame-mess-3.9-r4.apk
|
3.7 MiB |
|
|
|
advancescan-1.18-r1.apk
|
284 KiB |
|
|
|
advancescan-doc-1.18-r1.apk
|
7.3 KiB |
|
|
|
afetch-2.2.0-r1.apk
|
9.3 KiB |
|
|
|
afetch-doc-2.2.0-r1.apk
|
14 KiB |
|
|
|
afew-3.0.1-r0.apk
|
73 KiB |
|
|
|
afew-doc-3.0.1-r0.apk
|
12 KiB |
|
|
|
agate-3.3.8-r0.apk
|
734 KiB |
|
|
|
agate-openrc-3.3.8-r0.apk
|
2.0 KiB |
|
|
|
agrep-0.8.0-r2.apk
|
8.1 KiB |
|
|
|
agrep-doc-0.8.0-r2.apk
|
4.1 KiB |
|
|
|
aide-0.18.8-r0.apk
|
77 KiB |
|
|
|
aide-doc-0.18.8-r0.apk
|
14 KiB |
|
|
|
alarmwakeup-0.2.1-r0.apk
|
7.7 KiB |
|
|
|
alarmwakeup-dbg-0.2.1-r0.apk
|
17 KiB |
|
|
|
alarmwakeup-dev-0.2.1-r0.apk
|
2.6 KiB |
|
|
|
alarmwakeup-libs-0.2.1-r0.apk
|
4.6 KiB |
|
|
|
alarmwakeup-utils-0.2.1-r0.apk
|
4.2 KiB |
|
|
|
alpine-lift-0.2.0-r23.apk
|
3.8 MiB |
|
|
|
alps-0_git20230807-r12.apk
|
5.7 MiB |
|
|
|
alps-openrc-0_git20230807-r12.apk
|
2.0 KiB |
|
|
|
alttab-1.7.1-r0.apk
|
37 KiB |
|
|
|
alttab-doc-1.7.1-r0.apk
|
10 KiB |
|
|
|
amber-0.4.0-r0.apk
|
546 KiB |
|
|
|
amber-mpris-1.2.9-r0.apk
|
226 KiB |
|
|
|
amber-mpris-dev-1.2.9-r0.apk
|
6.7 KiB |
|
|
|
amdgpu-fan-0.1.0-r5.apk
|
14 KiB |
|
|
|
amdgpu-fan-pyc-0.1.0-r5.apk
|
9.6 KiB |
|
|
|
amiitool-2-r2.apk
|
8.0 KiB |
|
|
|
ampy-1.1.0-r6.apk
|
15 KiB |
|
|
|
ampy-doc-1.1.0-r6.apk
|
4.1 KiB |
|
|
|
ampy-pyc-1.1.0-r6.apk
|
20 KiB |
|
|
|
anarch-1.0-r1.apk
|
97 KiB |
|
|
|
anarch-doc-1.0-r1.apk
|
18 KiB |
|
|
|
anari-sdk-0.7.2-r0.apk
|
299 KiB |
|
|
|
anari-sdk-dev-0.7.2-r0.apk
|
59 KiB |
|
|
|
anari-sdk-static-0.7.2-r0.apk
|
185 KiB |
|
|
|
android-apkeep-0.17.0-r0.apk
|
1.9 MiB |
|
|
|
android-file-transfer-4.5-r0.apk
|
204 KiB |
|
|
|
android-file-transfer-cli-4.5-r0.apk
|
122 KiB |
|
|
|
android-file-transfer-dev-4.5-r0.apk
|
1.6 KiB |
|
|
|
android-file-transfer-libs-4.5-r0.apk
|
141 KiB |
|
|
|
android-translation-layer-0_git20250402-r0.apk
|
2.7 MiB |
|
|
|
android-translation-layer-dbg-0_git20250402-r0.apk
|
734 KiB |
|
|
|
angband-4.2.5-r0.apk
|
23 MiB |
|
|
|
ansible-bender-0.10.1-r2.apk
|
36 KiB |
|
|
|
ansible-bender-doc-0.10.1-r2.apk
|
10 KiB |
|
|
|
ansible-bender-pyc-0.10.1-r2.apk
|
65 KiB |
|
|
|
ansiweather-1.19.0-r1.apk
|
4.7 KiB |
|
|
|
ansiweather-doc-1.19.0-r1.apk
|
3.0 KiB |
|
|
|
antibody-6.1.1-r28.apk
|
1.9 MiB |
|
|
|
antimicrox-3.5.1-r0.apk
|
1.7 MiB |
|
|
|
antimicrox-doc-3.5.1-r0.apk
|
24 KiB |
|
|
|
anubis-1.20.0-r0.apk
|
7.9 MiB |
|
|
|
anubis-doc-1.20.0-r0.apk
|
2.3 KiB |
|
|
|
anubis-openrc-1.20.0-r0.apk
|
1.8 KiB |
|
|
|
aoetools-37-r2.apk
|
23 KiB |
|
|
|
aoetools-doc-37-r2.apk
|
14 KiB |
|
|
|
apache-mod-auth-gssapi-1.6.5-r1.apk
|
58 KiB |
|
|
|
apache-mod-auth-openidc-2.4.16.11-r1.apk
|
212 KiB |
|
|
|
apache-mod-auth-openidc-doc-2.4.16.11-r1.apk
|
4.4 KiB |
|
|
|
apache-mod-auth-openidc-static-2.4.16.11-r1.apk
|
268 KiB |
|
|
|
apache2-mod-authnz-external-3.3.3-r0.apk
|
8.3 KiB |
|
|
|
apache2-mod-authnz-external-doc-3.3.3-r0.apk
|
10 KiB |
|
|
|
apache2-mod-maxminddb-1.2.0-r0.apk
|
11 KiB |
|
|
|
apache2-mod-perl-2.0.13-r2.apk
|
686 KiB |
|
|
|
apache2-mod-perl-dbg-2.0.13-r2.apk
|
65 KiB |
|
|
|
apache2-mod-perl-dev-2.0.13-r2.apk
|
38 KiB |
|
|
|
apache2-mod-perl-doc-2.0.13-r2.apk
|
304 KiB |
|
|
|
apache2-mod-realdoc-1-r1.apk
|
5.1 KiB |
|
|
|
apk-autoupdate-0_git20210421-r1.apk
|
13 KiB |
|
|
|
apk-autoupdate-doc-0_git20210421-r1.apk
|
7.0 KiB |
|
|
|
apk-snap-3.1.1-r0.apk
|
6.6 KiB |
|
|
|
apk-snap-doc-3.1.1-r0.apk
|
20 KiB |
|
|
|
APKINDEX.tar.gz
|
925 KiB |
|
|
|
apmpkg-1.5.1-r3.apk
|
1.6 MiB |
|
|
|
apmpkg-bash-completion-1.5.1-r3.apk
|
2.2 KiB |
|
|
|
apmpkg-doc-1.5.1-r3.apk
|
3.1 KiB |
|
|
|
apmpkg-fish-completion-1.5.1-r3.apk
|
2.1 KiB |
|
|
|
apmpkg-zsh-completion-1.5.1-r3.apk
|
2.4 KiB |
|
|
|
aports-glmr-0.2-r28.apk
|
2.7 MiB |
|
|
|
appcenter-8.0.0-r0.apk
|
411 KiB |
|
|
|
appcenter-lang-8.0.0-r0.apk
|
258 KiB |
|
|
|
aprilsh-0.7.12-r5.apk
|
1.6 KiB |
|
|
|
aprilsh-client-0.7.12-r5.apk
|
3.4 MiB |
|
|
|
aprilsh-doc-0.7.12-r5.apk
|
14 KiB |
|
|
|
aprilsh-openrc-0.7.12-r5.apk
|
1.8 KiB |
|
|
|
aprilsh-server-0.7.12-r5.apk
|
2.6 MiB |
|
|
|
apt-dater-1.0.4-r4.apk
|
58 KiB |
|
|
|
apt-dater-doc-1.0.4-r4.apk
|
9.9 KiB |
|
|
|
apt-dater-lang-1.0.4-r4.apk
|
13 KiB |
|
|
|
apt-mirror-0.5.4-r0.apk
|
9.4 KiB |
|
|
|
apt-mirror-doc-0.5.4-r0.apk
|
4.6 KiB |
|
|
|
apt-swarm-0.5.1-r0.apk
|
2.9 MiB |
|
|
|
apt-swarm-bash-completion-0.5.1-r0.apk
|
4.2 KiB |
|
|
|
apt-swarm-fish-completion-0.5.1-r0.apk
|
5.7 KiB |
|
|
|
apt-swarm-openrc-0.5.1-r0.apk
|
1.9 KiB |
|
|
|
apt-swarm-zsh-completion-0.5.1-r0.apk
|
5.9 KiB |
|
|
|
aptdec-1.8.0-r1.apk
|
87 KiB |
|
|
|
aptdec-dev-1.8.0-r1.apk
|
3.4 KiB |
|
|
|
aptdec-libs-1.8.0-r1.apk
|
16 KiB |
|
|
|
apulse-0.1.13-r2.apk
|
43 KiB |
|
|
|
apulse-doc-0.1.13-r2.apk
|
2.8 KiB |
|
|
|
aqemu-0.9.4-r3.apk
|
1.7 MiB |
|
|
|
aqemu-doc-0.9.4-r3.apk
|
7.5 KiB |
|
|
|
aravis-0.8.31-r0.apk
|
46 KiB |
|
|
|
aravis-dev-0.8.31-r0.apk
|
34 KiB |
|
|
|
aravis-libs-0.8.31-r0.apk
|
188 KiB |
|
|
|
aravis-viewer-0.8.31-r0.apk
|
70 KiB |
|
|
|
aravis-viewer-lang-0.8.31-r0.apk
|
16 KiB |
|
|
|
arc-20221218-r0.apk
|
1.7 KiB |
|
|
|
arc-cinnamon-20221218-r0.apk
|
68 KiB |
|
|
|
arc-dark-20221218-r0.apk
|
1.8 KiB |
|
|
|
arc-dark-cinnamon-20221218-r0.apk
|
68 KiB |
|
|
|
arc-dark-gnome-20221218-r0.apk
|
27 KiB |
|
|
|
arc-dark-gtk2-20221218-r0.apk
|
38 KiB |
|
|
|
arc-dark-gtk3-20221218-r0.apk
|
93 KiB |
|
|
|
arc-dark-gtk4-20221218-r0.apk
|
86 KiB |
|
|
|
arc-dark-metacity-20221218-r0.apk
|
18 KiB |
|
|
|
arc-dark-xfwm-20221218-r0.apk
|
7.9 KiB |
|
|
|
arc-darker-20221218-r0.apk
|
1.8 KiB |
|
|
|
arc-darker-gtk2-20221218-r0.apk
|
38 KiB |
|
|
|
arc-darker-gtk3-20221218-r0.apk
|
124 KiB |
|
|
|
arc-darker-gtk4-20221218-r0.apk
|
110 KiB |
|
|
|
arc-darker-metacity-20221218-r0.apk
|
18 KiB |
|
|
|
arc-darker-xfwm-20221218-r0.apk
|
7.9 KiB |
|
|
|
arc-gnome-20221218-r0.apk
|
29 KiB |
|
|
|
arc-gtk2-20221218-r0.apk
|
38 KiB |
|
|
|
arc-gtk3-20221218-r0.apk
|
126 KiB |
|
|
|
arc-gtk4-20221218-r0.apk
|
114 KiB |
|
|
|
arc-icon-theme-20161122-r0.apk
|
4.4 MiB |
|
|
|
arc-lighter-20221218-r0.apk
|
1.8 KiB |
|
|
|
arc-lighter-gtk2-20221218-r0.apk
|
38 KiB |
|
|
|
arc-lighter-gtk3-20221218-r0.apk
|
125 KiB |
|
|
|
arc-lighter-gtk4-20221218-r0.apk
|
113 KiB |
|
|
|
arc-lighter-metacity-20221218-r0.apk
|
17 KiB |
|
|
|
arc-lighter-xfwm-20221218-r0.apk
|
7.7 KiB |
|
|
|
arc-metacity-20221218-r0.apk
|
17 KiB |
|
|
|
arc-theme-20221218-r0.apk
|
1.4 KiB |
|
|
|
arc-xfwm-20221218-r0.apk
|
7.7 KiB |
|
|
|
armagetronad-0.2.9.1.1-r0.apk
|
1.6 MiB |
|
|
|
armagetronad-doc-0.2.9.1.1-r0.apk
|
92 KiB |
|
|
|
art_standalone-0_git20250325-r1.apk
|
19 MiB |
|
|
|
art_standalone-dbg-0_git20250325-r1.apk
|
131 MiB |
|
|
|
art_standalone-dev-0_git20250325-r1.apk
|
8.6 MiB |
|
|
|
asdf-0.18.0-r0.apk
|
1.7 MiB |
|
|
|
asdf-doc-0.18.0-r0.apk
|
2.2 KiB |
|
|
|
aspell-es-1.11-r0.apk
|
533 KiB |
|
|
|
asymptote-3.04-r0.apk
|
1.5 MiB |
|
|
|
asymptote-doc-3.04-r0.apk
|
3.1 MiB |
|
|
|
atac-0.18.1-r0.apk
|
4.7 MiB |
|
|
|
atlantik-3.5.10_git20240323-r0.apk
|
396 KiB |
|
|
|
atlantik-doc-3.5.10_git20240323-r0.apk
|
79 KiB |
|
|
|
atlantik-lang-3.5.10_git20240323-r0.apk
|
69 KiB |
|
|
|
atomicparsley-20240608-r0.apk
|
112 KiB |
|
|
|
atool-0.39.0-r4.apk
|
18 KiB |
|
|
|
atool-bash-completion-0.39.0-r4.apk
|
2.0 KiB |
|
|
|
atool-doc-0.39.0-r4.apk
|
9.6 KiB |
|
|
|
aufs-util-20161219-r3.apk
|
189 KiB |
|
|
|
aufs-util-dev-20161219-r3.apk
|
1.5 KiB |
|
|
|
aufs-util-doc-20161219-r3.apk
|
34 KiB |
|
|
|
authenticator-rs-0.7.5-r0.apk
|
1.9 MiB |
|
|
|
authenticator-rs-lang-0.7.5-r0.apk
|
3.7 KiB |
|
|
|
autoconf-policy-0.1-r0.apk
|
5.5 KiB |
|
|
|
autoremove-torrents-1.5.5-r0.apk
|
35 KiB |
|
|
|
autoremove-torrents-doc-1.5.5-r0.apk
|
12 KiB |
|
|
|
autoremove-torrents-pyc-1.5.5-r0.apk
|
54 KiB |
|
|
|
autorestic-1.8.3-r5.apk
|
3.9 MiB |
|
|
|
autotrash-0.4.7-r0.apk
|
23 KiB |
|
|
|
autotrash-pyc-0.4.7-r0.apk
|
14 KiB |
|
|
|
avahi2dns-0.1.0-r1.apk
|
2.6 MiB |
|
|
|
avahi2dns-openrc-0.1.0-r1.apk
|
1.8 KiB |
|
|
|
avara-0.7.1-r1.apk
|
21 MiB |
|
|
|
avarice-2.14-r4.apk
|
65 KiB |
|
|
|
avarice-doc-2.14-r4.apk
|
9.4 KiB |
|
|
|
avida-0_git20190813-r1.apk
|
2.0 MiB |
|
|
|
avra-1.4.2-r0.apk
|
39 KiB |
|
|
|
avra-dev-1.4.2-r0.apk
|
255 KiB |
|
|
|
aws-lc-1.54.0-r1.apk
|
1024 KiB |
|
|
|
aws-lc-dev-1.54.0-r1.apk
|
416 KiB |
|
|
|
aws-lc-tools-1.54.0-r1.apk
|
136 KiB |
|
|
|
azote-1.14.0-r0.apk
|
7.6 MiB |
|
|
|
azote-pyc-1.14.0-r0.apk
|
98 KiB |
|
|
|
azpainter-3.0.11-r0.apk
|
895 KiB |
|
|
|
azpainter-doc-3.0.11-r0.apk
|
42 KiB |
|
|
|
azure-iot-sdk-c-static-1.11.0-r0.apk
|
756 KiB |
|
|
|
b2-tools-4.3.2-r0.apk
|
72 KiB |
|
|
|
b2-tools-pyc-4.3.2-r0.apk
|
136 KiB |
|
|
|
b2sum-20190729-r2.apk
|
16 KiB |
|
|
|
b2sum-doc-20190729-r2.apk
|
2.7 KiB |
|
|
|
backup-manager-0.7.15-r1.apk
|
55 KiB |
|
|
|
bacon-3.16.0-r0.apk
|
1.8 MiB |
|
|
|
baikal-0.10.1-r1.apk
|
1.3 MiB |
|
|
|
baikal-mysql-0.10.1-r1.apk
|
1.3 KiB |
|
|
|
baikal-pgsql-0.10.1-r1.apk
|
1.3 KiB |
|
|
|
baikal-sqlite-0.10.1-r1.apk
|
1.4 KiB |
|
|
|
bake-2.5.1-r0.apk
|
121 KiB |
|
|
|
bakelite-0.4.2-r0.apk
|
44 KiB |
|
|
|
bananui-2.0.0-r0.apk
|
55 KiB |
|
|
|
bananui-clock-0.1.0-r0.apk
|
7.2 KiB |
|
|
|
bananui-daemons-0.1.0-r0.apk
|
46 KiB |
|
|
|
bananui-dbg-2.0.0-r0.apk
|
156 KiB |
|
|
|
bananui-demos-2.0.0-r0.apk
|
8.9 KiB |
|
|
|
bananui-dev-2.0.0-r0.apk
|
84 KiB |
|
|
|
bananui-shell-0.2.0-r0.apk
|
103 KiB |
|
|
|
baresip-3.20.0-r1.apk
|
1.1 MiB |
|
|
|
baresip-dev-3.20.0-r1.apk
|
16 KiB |
|
|
|
barman-3.14.1-r0.apk
|
361 KiB |
|
|
|
barman-bash-completion-3.14.1-r0.apk
|
1.6 KiB |
|
|
|
barman-doc-3.14.1-r0.apk
|
85 KiB |
|
|
|
barman-pyc-3.14.1-r0.apk
|
572 KiB |
|
|
|
barnyard2-2.1.14_git20160413-r1.apk
|
141 KiB |
|
|
|
barnyard2-openrc-2.1.14_git20160413-r1.apk
|
2.8 KiB |
|
|
|
barrier-2.4.0-r2.apk
|
1013 KiB |
|
|
|
barrier-doc-2.4.0-r2.apk
|
13 KiB |
|
|
|
bartib-1.0.1-r1.apk
|
365 KiB |
|
|
|
base64c-0.2.1-r0.apk
|
4.4 KiB |
|
|
|
base64c-dev-0.2.1-r0.apk
|
5.5 KiB |
|
|
|
bash-pinyin-completion-rs-0.2.3-r0.apk
|
634 KiB |
|
|
|
bash-pinyin-completion-rs-doc-0.2.3-r0.apk
|
14 KiB |
|
|
|
batmon-0.0.1-r0.apk
|
448 KiB |
|
|
|
battery-limit-openrc-1-r0.apk
|
1.8 KiB |
|
|
|
bcg729-1.1.1-r0.apk
|
34 KiB |
|
|
|
bcg729-dev-1.1.1-r0.apk
|
3.5 KiB |
|
|
|
bchunk-1.2.2-r3.apk
|
7.2 KiB |
|
|
|
bchunk-doc-1.2.2-r3.apk
|
3.0 KiB |
|
|
|
bdfr-2.6.2-r1.apk
|
131 KiB |
|
|
|
beancount-language-server-1.3.6-r0.apk
|
1.3 MiB |
|
|
|
beard-0.4-r0.apk
|
3.1 KiB |
|
|
|
beard-doc-0.4-r0.apk
|
2.5 KiB |
|
|
|
bees-0.10-r2.apk
|
330 KiB |
|
|
|
bees-openrc-0.10-r2.apk
|
1.9 KiB |
|
|
|
belcard-5.3.105-r0.apk
|
12 KiB |
|
|
|
belcard-dev-5.3.105-r0.apk
|
12 KiB |
|
|
|
belcard-libs-5.3.105-r0.apk
|
222 KiB |
|
|
|
belle-sip-5.3.105-r0.apk
|
639 KiB |
|
|
|
belle-sip-dev-5.3.105-r0.apk
|
54 KiB |
|
|
|
belr-5.3.105-r0.apk
|
117 KiB |
|
|
|
belr-dev-5.3.105-r0.apk
|
14 KiB |
|
|
|
berry-lang-1.1.0-r0.apk
|
127 KiB |
|
|
|
bestline-0.0_git20211108-r0.apk
|
22 KiB |
|
|
|
bestline-dev-0.0_git20211108-r0.apk
|
1.7 KiB |
|
|
|
bestline-doc-0.0_git20211108-r0.apk
|
18 MiB |
|
|
|
bettercap-2.41.0-r2.apk
|
19 MiB |
|
|
|
bettercap-doc-2.41.0-r2.apk
|
14 KiB |
|
|
|
bgpq4-1.15-r0.apk
|
32 KiB |
|
|
|
bgpq4-doc-1.15-r0.apk
|
6.3 KiB |
|
|
|
bgs-0.8-r1.apk
|
5.6 KiB |
|
|
|
bgs-doc-0.8-r1.apk
|
2.3 KiB |
|
|
|
biboumi-9.0-r8.apk
|
302 KiB |
|
|
|
biboumi-doc-9.0-r8.apk
|
1.5 KiB |
|
|
|
biboumi-openrc-9.0-r8.apk
|
1.9 KiB |
|
|
|
bindfs-1.17.7-r1.apk
|
22 KiB |
|
|
|
bindfs-doc-1.17.7-r1.apk
|
9.1 KiB |
|
|
|
biometryd-0.3.1-r7.apk
|
326 KiB |
|
|
|
biometryd-dev-0.3.1-r7.apk
|
13 KiB |
|
|
|
bionic_translation-0_git20250324-r0.apk
|
50 KiB |
|
|
|
bionic_translation-dbg-0_git20250324-r0.apk
|
122 KiB |
|
|
|
bionic_translation-dev-0_git20250324-r0.apk
|
1.8 KiB |
|
|
|
birdtray-1.9.0-r1.apk
|
421 KiB |
|
|
|
bitlbee-facebook-1.2.2-r0.apk
|
67 KiB |
|
|
|
bitlbee-mastodon-1.4.5-r0.apk
|
52 KiB |
|
|
|
bitritter-0.1.1-r0.apk
|
2.1 MiB |
|
|
|
bkt-0.8.0-r0.apk
|
366 KiB |
|
|
|
bkt-doc-0.8.0-r0.apk
|
7.3 KiB |
|
|
|
blackbox-1.20220610-r1.apk
|
16 KiB |
|
|
|
blip-0.10-r0.apk
|
15 KiB |
|
|
|
blip-doc-0.10-r0.apk
|
30 KiB |
|
|
|
bliss-0.77-r1.apk
|
75 KiB |
|
|
|
bliss-dev-0.77-r1.apk
|
114 KiB |
|
|
|
bluez-tools-0_git20201025-r0.apk
|
250 KiB |
|
|
|
bluez-tools-doc-0_git20201025-r0.apk
|
15 KiB |
|
|
|
bmk-0.1-r0.apk
|
31 KiB |
|
|
|
bmk-doc-0.1-r0.apk
|
6.6 KiB |
|
|
|
bobcat-4.09.00-r0.apk
|
730 KiB |
|
|
|
bobcat-dev-4.09.00-r0.apk
|
651 KiB |
|
|
|
bobcat-doc-4.09.00-r0.apk
|
345 KiB |
|
|
|
bochs-2.8-r1.apk
|
944 KiB |
|
|
|
bochs-doc-2.8-r1.apk
|
139 KiB |
|
|
|
boinc-7.24.3-r0.apk
|
1.6 MiB |
|
|
|
boinc-dev-7.24.3-r0.apk
|
590 KiB |
|
|
|
boinc-doc-7.24.3-r0.apk
|
8.0 KiB |
|
|
|
boinc-gui-7.24.3-r0.apk
|
1.0 MiB |
|
|
|
boinc-lang-7.24.3-r0.apk
|
877 KiB |
|
|
|
boinc-libs-7.24.3-r0.apk
|
214 KiB |
|
|
|
boinc-screensaver-7.24.3-r0.apk
|
141 KiB |
|
|
|
bomctl-0.1.9-r6.apk
|
9.5 MiB |
|
|
|
bomctl-bash-completion-0.1.9-r6.apk
|
5.1 KiB |
|
|
|
bomctl-fish-completion-0.1.9-r6.apk
|
4.4 KiB |
|
|
|
bomctl-zsh-completion-0.1.9-r6.apk
|
4.1 KiB |
|
|
|
bonzomatic-20230615-r0.apk
|
649 KiB |
|
|
|
bootchart2-0.14.9-r0.apk
|
137 KiB |
|
|
|
bootinfo-0.1.0-r4.apk
|
19 KiB |
|
|
|
bootinfo-pyc-0.1.0-r4.apk
|
8.2 KiB |
|
|
|
bootloose-0.7.1-r11.apk
|
2.3 MiB |
|
|
|
bootterm-0.5-r0.apk
|
20 KiB |
|
|
|
bootterm-dbg-0.5-r0.apk
|
2.3 KiB |
|
|
|
bore-0.5.2-r0.apk
|
566 KiB |
|
|
|
boson-0_git20211219-r0.apk
|
19 KiB |
|
|
|
botan2-2.19.5-r0.apk
|
461 KiB |
|
|
|
botan2-dev-2.19.5-r0.apk
|
312 KiB |
|
|
|
botan2-doc-2.19.5-r0.apk
|
306 KiB |
|
|
|
botan2-libs-2.19.5-r0.apk
|
2.9 MiB |
|
|
|
boxes-2.3.1-r0.apk
|
82 KiB |
|
|
|
boxes-doc-2.3.1-r0.apk
|
7.1 KiB |
|
|
|
brial-1.2.11-r4.apk
|
1.1 MiB |
|
|
|
brial-dev-1.2.11-r4.apk
|
1.6 MiB |
|
|
|
brltty-6.7-r1.apk
|
2.2 MiB |
|
|
|
brltty-dev-6.7-r1.apk
|
140 KiB |
|
|
|
brltty-doc-6.7-r1.apk
|
9.4 KiB |
|
|
|
brltty-lang-6.7-r1.apk
|
149 KiB |
|
|
|
brltty-static-6.7-r1.apk
|
24 KiB |
|
|
|
btfs-2.24-r12.apk
|
32 KiB |
|
|
|
btfs-doc-2.24-r12.apk
|
2.4 KiB |
|
|
|
btpd-0.16-r2.apk
|
91 KiB |
|
|
|
btpd-doc-0.16-r2.apk
|
8.4 KiB |
|
|
|
buildcache-0.28.9-r0.apk
|
847 KiB |
|
|
|
bump2version-1.0.1-r6.apk
|
21 KiB |
|
|
|
bump2version-pyc-1.0.1-r6.apk
|
29 KiB |
|
|
|
burp-3.1.4-r0.apk
|
203 KiB |
|
|
|
burp-doc-3.1.4-r0.apk
|
99 KiB |
|
|
|
burp-server-3.1.4-r0.apk
|
36 KiB |
|
|
|
butane-0.24.0-r0.apk
|
3.3 MiB |
|
|
|
bwrap-oci-0.2-r1.apk
|
17 KiB |
|
|
|
bwrap-oci-doc-0.2-r1.apk
|
2.5 KiB |
|
|
|
bzmenu-0.2.1-r0.apk
|
1.1 MiB |
|
|
|
cadence-0.9.2-r0.apk
|
1.9 MiB |
|
|
|
caffeine-ng-4.2.0-r1.apk
|
100 KiB |
|
|
|
caffeine-ng-doc-4.2.0-r1.apk
|
3.2 KiB |
|
|
|
caffeine-ng-lang-4.2.0-r1.apk
|
34 KiB |
|
|
|
caja-gtkhash-plugin-1.5-r0.apk
|
28 KiB |
|
|
|
capnet-assist-8.0.0-r0.apk
|
45 KiB |
|
|
|
capnet-assist-lang-8.0.0-r0.apk
|
37 KiB |
|
|
|
caps2esc-0.3.2-r0.apk
|
4.6 KiB |
|
|
|
care-2.3.0-r1.apk
|
102 KiB |
|
|
|
care-doc-2.3.0-r1.apk
|
7.9 KiB |
|
|
|
cargo-crev-0.26.3-r0.apk
|
6.2 MiB |
|
|
|
cargo-geiger-0.12.0-r0.apk
|
5.3 MiB |
|
|
|
cargo-geiger-doc-0.12.0-r0.apk
|
7.7 KiB |
|
|
|
cargo-generate-0.23.3-r0.apk
|
2.3 MiB |
|
|
|
cargo-machete-0.8.0-r0.apk
|
1.2 MiB |
|
|
|
cargo-machete-doc-0.8.0-r0.apk
|
4.0 KiB |
|
|
|
cargo-run-bin-1.7.2-r0.apk
|
448 KiB |
|
|
|
cargo-run-bin-doc-1.7.2-r0.apk
|
5.1 KiB |
|
|
|
cargo-show-asm-0.2.49-r0.apk
|
857 KiB |
|
|
|
cargo-show-asm-doc-0.2.49-r0.apk
|
10 KiB |
|
|
|
cargo-shuttle-0.55.0-r0.apk
|
4.5 MiB |
|
|
|
cargo-shuttle-bash-completion-0.55.0-r0.apk
|
4.9 KiB |
|
|
|
cargo-shuttle-doc-0.55.0-r0.apk
|
8.9 KiB |
|
|
|
cargo-shuttle-fish-completion-0.55.0-r0.apk
|
8.2 KiB |
|
|
|
cargo-shuttle-zsh-completion-0.55.0-r0.apk
|
7.7 KiB |
|
|
|
cargo-udeps-0.1.56-r2.apk
|
4.7 MiB |
|
|
|
cargo-udeps-doc-0.1.56-r2.apk
|
7.5 KiB |
|
|
|
cargo-update-16.2.1-r0.apk
|
1.1 MiB |
|
|
|
cargo-update-doc-16.2.1-r0.apk
|
8.3 KiB |
|
|
|
cargo-vendor-filterer-0.5.9-r1.apk
|
458 KiB |
|
|
|
castero-0.9.5-r4.apk
|
50 KiB |
|
|
|
castero-pyc-0.9.5-r4.apk
|
94 KiB |
|
|
|
castor-0.9.0-r2.apk
|
711 KiB |
|
|
|
catcodec-1.0.5-r2.apk
|
14 KiB |
|
|
|
catcodec-doc-1.0.5-r2.apk
|
4.9 KiB |
|
|
|
catdoc-0.95-r1.apk
|
112 KiB |
|
|
|
catdoc-doc-0.95-r1.apk
|
9.2 KiB |
|
|
|
catfish-4.20.0-r0.apk
|
126 KiB |
|
|
|
catfish-doc-4.20.0-r0.apk
|
13 KiB |
|
|
|
catfish-lang-4.20.0-r0.apk
|
162 KiB |
|
|
|
catfish-pyc-4.20.0-r0.apk
|
101 KiB |
|
|
|
cava-0.10.4-r1.apk
|
42 KiB |
|
|
|
cbqn-0.9.0-r0.apk
|
991 KiB |
|
|
|
cc65-2.19-r0.apk
|
8.9 MiB |
|
|
|
ccrtp-2.1.2-r0.apk
|
112 KiB |
|
|
|
ccrtp-dev-2.1.2-r0.apk
|
53 KiB |
|
|
|
ccrtp-doc-2.1.2-r0.apk
|
31 KiB |
|
|
|
ccze-0.2.1-r1.apk
|
51 KiB |
|
|
|
ccze-dev-0.2.1-r1.apk
|
3.3 KiB |
|
|
|
ccze-doc-0.2.1-r1.apk
|
8.8 KiB |
|
|
|
cdba-1.0-r2.apk
|
8.0 KiB |
|
|
|
cdba-server-1.0-r2.apk
|
22 KiB |
|
|
|
cddlib-0.94m-r2.apk
|
218 KiB |
|
|
|
cddlib-dev-0.94m-r2.apk
|
14 KiB |
|
|
|
cddlib-doc-0.94m-r2.apk
|
864 KiB |
|
|
|
cddlib-static-0.94m-r2.apk
|
285 KiB |
|
|
|
cddlib-tools-0.94m-r2.apk
|
36 KiB |
|
|
|
cdist-7.0.0-r6.apk
|
511 KiB |
|
|
|
cdist-pyc-7.0.0-r6.apk
|
128 KiB |
|
|
|
cdogs-sdl-2.1.0-r0.apk
|
34 MiB |
|
|
|
cellbroadcastd-0.0.2-r0.apk
|
58 KiB |
|
|
|
cellbroadcastd-dev-0.0.2-r0.apk
|
32 KiB |
|
|
|
cellbroadcastd-libs-0.0.2-r0.apk
|
25 KiB |
|
|
|
certbot-dns-njalla-2.0.0-r0.apk
|
9.3 KiB |
|
|
|
certbot-dns-njalla-pyc-2.0.0-r0.apk
|
4.2 KiB |
|
|
|
certbot-dns-pdns-0.1.1-r0.apk
|
8.9 KiB |
|
|
|
certbot-dns-pdns-pyc-0.1.1-r0.apk
|
3.9 KiB |
|
|
|
certigo-1.16.0-r23.apk
|
3.9 MiB |
|
|
|
certstrap-1.3.0-r24.apk
|
2.4 MiB |
|
|
|
cfssl-1.6.5-r5.apk
|
30 MiB |
|
|
|
cgiirc-0.5.12-r1.apk
|
133 KiB |
|
|
|
cgo-0.6.1-r1.apk
|
11 KiB |
|
|
|
cgo-doc-0.6.1-r1.apk
|
4.1 KiB |
|
|
|
chamo-4.0-r0.apk
|
5.8 MiB |
|
|
|
chamo-byte-4.0-r0.apk
|
1.5 MiB |
|
|
|
chamo-dev-4.0-r0.apk
|
4.1 MiB |
|
|
|
charls-2.4.2-r0.apk
|
67 KiB |
|
|
|
charls-dev-2.4.2-r0.apk
|
27 KiB |
|
|
|
chasquid-1.15.0-r4.apk
|
12 MiB |
|
|
|
chasquid-doc-1.15.0-r4.apk
|
15 KiB |
|
|
|
chasquid-openrc-1.15.0-r4.apk
|
2.0 KiB |
|
|
|
chawan-0.2.1-r0.apk
|
3.8 MiB |
|
|
|
chawan-doc-0.2.1-r0.apk
|
51 KiB |
|
|
|
checkpolicy-3.6-r0.apk
|
354 KiB |
|
|
|
checkpolicy-doc-3.6-r0.apk
|
4.2 KiB |
|
|
|
cherrytree-1.4.0-r0.apk
|
2.7 MiB |
|
|
|
cherrytree-doc-1.4.0-r0.apk
|
2.1 KiB |
|
|
|
cherrytree-lang-1.4.0-r0.apk
|
859 KiB |
|
|
|
chicago95-3.0.1_git20240619-r0.apk
|
481 KiB |
|
|
|
chicago95-fonts-3.0.1_git20240619-r0.apk
|
215 KiB |
|
|
|
chicago95-icons-3.0.1_git20240619-r0.apk
|
12 MiB |
|
|
|
chim-1.1.2-r1.apk
|
1.7 MiB |
|
|
|
chim-doc-1.1.2-r1.apk
|
2.8 KiB |
|
|
|
chimerautils-14.2.1-r0.apk
|
1.2 MiB |
|
|
|
chimerautils-dbg-14.2.1-r0.apk
|
2.9 MiB |
|
|
|
chocolate-doom-3.1.0-r0.apk
|
1.6 MiB |
|
|
|
chocolate-doom-doc-3.1.0-r0.apk
|
231 KiB |
|
|
|
cilium-cli-0.16.13-r5.apk
|
57 MiB |
|
|
|
cilium-cli-bash-completion-0.16.13-r5.apk
|
5.1 KiB |
|
|
|
cilium-cli-fish-completion-0.16.13-r5.apk
|
4.3 KiB |
|
|
|
cilium-cli-zsh-completion-0.16.13-r5.apk
|
4.1 KiB |
|
|
|
cimg-3.4.1-r0.apk
|
826 KiB |
|
|
|
circuslinux-1.0.3-r1.apk
|
20 KiB |
|
|
|
circuslinux-data-1.0.3-r1.apk
|
1.1 MiB |
|
|
|
circuslinux-doc-1.0.3-r1.apk
|
18 KiB |
|
|
|
ckb-next-0.6.2-r0.apk
|
1.4 MiB |
|
|
|
ckb-next-daemon-0.6.2-r0.apk
|
70 KiB |
|
|
|
ckb-next-daemon-openrc-0.6.2-r0.apk
|
1.8 KiB |
|
|
|
ckb-next-dev-0.6.2-r0.apk
|
4.9 KiB |
|
|
|
clatd-1.6-r0.apk
|
13 KiB |
|
|
|
clementine-1.4.1_git20250503-r0.apk
|
6.5 MiB |
|
|
|
clevis-21-r0.apk
|
54 KiB |
|
|
|
clevis-bash-completion-21-r0.apk
|
2.0 KiB |
|
|
|
clevis-dbg-21-r0.apk
|
57 KiB |
|
|
|
clevis-doc-21-r0.apk
|
23 KiB |
|
|
|
clevis-extra-pins-0_git20230629-r0.apk
|
4.7 KiB |
|
|
|
click-0.5.2-r4.apk
|
160 KiB |
|
|
|
click-dev-0.5.2-r4.apk
|
9.1 KiB |
|
|
|
click-doc-0.5.2-r4.apk
|
3.3 KiB |
|
|
|
click-pyc-0.5.2-r4.apk
|
175 KiB |
|
|
|
clinfo-3.0.23.01.25-r0.apk
|
46 KiB |
|
|
|
clinfo-doc-3.0.23.01.25-r0.apk
|
6.5 KiB |
|
|
|
cliphist-0.6.1-r5.apk
|
967 KiB |
|
|
|
cliphist-fzf-0.6.1-r5.apk
|
1.8 KiB |
|
|
|
clipit-1.4.5-r3.apk
|
67 KiB |
|
|
|
clipit-doc-1.4.5-r3.apk
|
2.4 KiB |
|
|
|
cliquer-1.22-r2.apk
|
8.1 KiB |
|
|
|
cliquer-dev-1.22-r2.apk
|
7.4 KiB |
|
|
|
cliquer-libs-1.22-r2.apk
|
26 KiB |
|
|
|
cliquer-static-1.22-r2.apk
|
30 KiB |
|
|
|
cliquer-tests-1.22-r2.apk
|
24 KiB |
|
|
|
cln-1.3.7-r1.apk
|
487 KiB |
|
|
|
cln-dev-1.3.7-r1.apk
|
1.1 MiB |
|
|
|
cln-doc-1.3.7-r1.apk
|
77 KiB |
|
|
|
cloudflared-2024.12.1-r6.apk
|
9.6 MiB |
|
|
|
cloudflared-doc-2024.12.1-r6.apk
|
1.9 KiB |
|
|
|
cloudflared-openrc-2024.12.1-r6.apk
|
1.8 KiB |
|
|
|
cloudfoundry-cli-8.7.9-r9.apk
|
9.2 MiB |
|
|
|
cluster-glue-1.0.12-r5.apk
|
249 KiB |
|
|
|
cluster-glue-dev-1.0.12-r5.apk
|
957 KiB |
|
|
|
cluster-glue-doc-1.0.12-r5.apk
|
33 KiB |
|
|
|
cluster-glue-libs-1.0.12-r5.apk
|
112 KiB |
|
|
|
cmusfm-0.5.0-r0.apk
|
15 KiB |
|
|
|
coccinelle-1.1.1-r2.apk
|
6.4 MiB |
|
|
|
coccinelle-bash-completion-1.1.1-r2.apk
|
2.9 KiB |
|
|
|
coccinelle-doc-1.1.1-r2.apk
|
16 KiB |
|
|
|
cocogitto-6.3.0-r0.apk
|
1.8 MiB |
|
|
|
cocogitto-bash-completion-6.3.0-r0.apk
|
3.0 KiB |
|
|
|
cocogitto-doc-6.3.0-r0.apk
|
38 KiB |
|
|
|
cocogitto-fish-completion-6.3.0-r0.apk
|
3.3 KiB |
|
|
|
cocogitto-zsh-completion-6.3.0-r0.apk
|
3.0 KiB |
|
|
|
code-minimap-0.6.7-r0.apk
|
371 KiB |
|
|
|
code-minimap-doc-0.6.7-r0.apk
|
8.0 KiB |
|
|
|
codec2-1.2.0-r0.apk
|
670 KiB |
|
|
|
codec2-dev-1.2.0-r0.apk
|
15 KiB |
|
|
|
colormake-0.9.20170221-r0.apk
|
4.0 KiB |
|
|
|
colormake-doc-0.9.20170221-r0.apk
|
2.7 KiB |
|
|
|
colorpicker-0_git20201128-r1.apk
|
4.2 KiB |
|
|
|
comics-downloader-0.33.8-r10.apk
|
3.9 MiB |
|
|
|
comics-downloader-gui-0.33.8-r10.apk
|
5.7 MiB |
|
|
|
commit-lsp-0.1.0-r0.apk
|
2.1 MiB |
|
|
|
commoncpp-7.0.1-r1.apk
|
318 KiB |
|
|
|
commoncpp-dev-7.0.1-r1.apk
|
173 KiB |
|
|
|
commoncpp-doc-7.0.1-r1.apk
|
15 KiB |
|
|
|
commoncpp-tools-7.0.1-r1.apk
|
44 KiB |
|
|
|
compiz-0.9.14.2-r11.apk
|
6.3 MiB |
|
|
|
compiz-dev-0.9.14.2-r11.apk
|
117 KiB |
|
|
|
compiz-lang-0.9.14.2-r11.apk
|
1.2 MiB |
|
|
|
compiz-pyc-0.9.14.2-r11.apk
|
112 KiB |
|
|
|
compiz-utils-0.9.14.2-r11.apk
|
3.3 KiB |
|
|
|
conntracct-0.2.7-r31.apk
|
5.2 MiB |
|
|
|
conntracct-openrc-0.2.7-r31.apk
|
1.9 KiB |
|
|
|
console_bridge-1.0.2-r0.apk
|
9.8 KiB |
|
|
|
console_bridge-dev-1.0.2-r0.apk
|
4.7 KiB |
|
|
|
consul-replicate-0.4.0-r31.apk
|
3.0 MiB |
|
|
|
contractor-0.3.5-r0.apk
|
27 KiB |
|
|
|
convert2json-2.2.2-r0.apk
|
1.3 KiB |
|
|
|
convert2json-bson-2.2.2-r0.apk
|
1.3 KiB |
|
|
|
convert2json-bson-jaq-2.2.2-r0.apk
|
265 KiB |
|
|
|
convert2json-bson-json-2.2.2-r0.apk
|
255 KiB |
|
|
|
convert2json-cbor-2.2.2-r0.apk
|
1.3 KiB |
|
|
|
convert2json-cbor-jaq-2.2.2-r0.apk
|
234 KiB |
|
|
|
convert2json-cbor-json-2.2.2-r0.apk
|
224 KiB |
|
|
|
convert2json-csv-2.2.2-r0.apk
|
1.3 KiB |
|
|
|
convert2json-csv-jaq-2.2.2-r0.apk
|
254 KiB |
|
|
|
convert2json-csv-json-2.2.2-r0.apk
|
243 KiB |
|
|
|
convert2json-doc-2.2.2-r0.apk
|
13 KiB |
|
|
|
convert2json-ini-2.2.2-r0.apk
|
1.3 KiB |
|
|
|
convert2json-ini-jaq-2.2.2-r0.apk
|
215 KiB |
|
|
|
convert2json-ini-json-2.2.2-r0.apk
|
204 KiB |
|
|
|
convert2json-jaq-2.2.2-r0.apk
|
1.4 KiB |
|
|
|
convert2json-json-2.2.2-r0.apk
|
1.4 KiB |
|
|
|
convert2json-messagepack-2.2.2-r0.apk
|
1.3 KiB |
|
|
|
convert2json-messagepack-jaq-2.2.2-r0.apk
|
231 KiB |
|
|
|
convert2json-messagepack-json-2.2.2-r0.apk
|
221 KiB |
|
|
|
convert2json-plist-2.2.2-r0.apk
|
1.3 KiB |
|
|
|
convert2json-plist-jaq-2.2.2-r0.apk
|
274 KiB |
|
|
|
convert2json-plist-json-2.2.2-r0.apk
|
264 KiB |
|
|
|
convert2json-rsv-2.2.2-r0.apk
|
1.3 KiB |
|
|
|
convert2json-rsv-jaq-2.2.2-r0.apk
|
194 KiB |
|
|
|
convert2json-rsv-json-2.2.2-r0.apk
|
183 KiB |
|
|
|
convert2json-toml-2.2.2-r0.apk
|
1.3 KiB |
|
|
|
convert2json-toml-jaq-2.2.2-r0.apk
|
280 KiB |
|
|
|
convert2json-toml-json-2.2.2-r0.apk
|
270 KiB |
|
|
|
convert2json-xml-2.2.2-r0.apk
|
1.3 KiB |
|
|
|
convert2json-xml-jaq-2.2.2-r0.apk
|
226 KiB |
|
|
|
convert2json-xml-json-2.2.2-r0.apk
|
217 KiB |
|
|
|
convert2json-yaml-2.2.2-r0.apk
|
1.3 KiB |
|
|
|
convert2json-yaml-jaq-2.2.2-r0.apk
|
300 KiB |
|
|
|
convert2json-yaml-json-2.2.2-r0.apk
|
290 KiB |
|
|
|
copyq-10.0.0-r0.apk
|
2.7 MiB |
|
|
|
copyq-bash-completion-10.0.0-r0.apk
|
2.3 KiB |
|
|
|
copyq-doc-10.0.0-r0.apk
|
3.5 KiB |
|
|
|
corosync-3.1.9-r0.apk
|
290 KiB |
|
|
|
corosync-dev-3.1.9-r0.apk
|
438 KiB |
|
|
|
corosync-doc-3.1.9-r0.apk
|
190 KiB |
|
|
|
corosync-openrc-3.1.9-r0.apk
|
1.8 KiB |
|
|
|
cortex-tenant-1.15.2-r6.apk
|
4.4 MiB |
|
|
|
cortex-tenant-openrc-1.15.2-r6.apk
|
2.0 KiB |
|
|
|
cosmic-icons-1.0.0_alpha7-r0.apk
|
232 KiB |
|
|
|
cowsay-3.04-r2.apk
|
18 KiB |
|
|
|
cowsay-doc-3.04-r2.apk
|
4.0 KiB |
|
|
|
coxeter-3.0-r1.apk
|
48 KiB |
|
|
|
coxeter-dev-3.0-r1.apk
|
57 KiB |
|
|
|
coxeter-libs-3.0-r1.apk
|
338 KiB |
|
|
|
cpdf-2.8.1-r0.apk
|
2.0 MiB |
|
|
|
cpdf-doc-2.8.1-r0.apk
|
558 KiB |
|
|
|
cpiped-0.1.0-r0.apk
|
6.8 KiB |
|
|
|
cpplint-2.0.2-r0.apk
|
80 KiB |
|
|
|
cpplint-pyc-2.0.2-r0.apk
|
100 KiB |
|
|
|
cpu-x-5.2.0-r1.apk
|
2.1 MiB |
|
|
|
cpu-x-bash-completion-5.2.0-r1.apk
|
2.0 KiB |
|
|
|
cpu-x-fish-completion-5.2.0-r1.apk
|
2.2 KiB |
|
|
|
cpu-x-lang-5.2.0-r1.apk
|
266 KiB |
|
|
|
cpu-x-zsh-completion-5.2.0-r1.apk
|
2.1 KiB |
|
|
|
cpuburn-1.4a_git20160316-r2.apk
|
4.1 KiB |
|
|
|
crazydiskinfo-1.1.0-r1.apk
|
36 KiB |
|
|
|
createrepo_c-1.1.4-r0.apk
|
50 KiB |
|
|
|
createrepo_c-bash-completion-1.1.4-r0.apk
|
2.9 KiB |
|
|
|
createrepo_c-dev-1.1.4-r0.apk
|
32 KiB |
|
|
|
createrepo_c-doc-1.1.4-r0.apk
|
8.7 KiB |
|
|
|
createrepo_c-libs-1.1.4-r0.apk
|
89 KiB |
|
|
|
crispy-doom-7.0-r0.apk
|
1.8 MiB |
|
|
|
crispy-doom-doc-7.0-r0.apk
|
107 KiB |
|
|
|
crossplane-0.5.8-r3.apk
|
30 KiB |
|
|
|
crossplane-pyc-0.5.8-r3.apk
|
39 KiB |
|
|
|
crowdsec-1.6.9-r0.apk
|
37 MiB |
|
|
|
crowdsec-email-plugin-1.6.9-r0.apk
|
10 MiB |
|
|
|
crowdsec-http-plugin-1.6.9-r0.apk
|
10 MiB |
|
|
|
crowdsec-openrc-1.6.9-r0.apk
|
1.8 KiB |
|
|
|
crowdsec-sentinel-plugin-1.6.9-r0.apk
|
10 MiB |
|
|
|
crowdsec-slack-plugin-1.6.9-r0.apk
|
10 MiB |
|
|
|
crowdsec-splunk-plugin-1.6.9-r0.apk
|
10 MiB |
|
|
|
crun-vm-0.3.0-r0.apk
|
1.1 MiB |
|
|
|
crun-vm-doc-0.3.0-r0.apk
|
13 KiB |
|
|
|
cscope-15.9-r1.apk
|
155 KiB |
|
|
|
cscope-doc-15.9-r1.apk
|
7.5 KiB |
|
|
|
csfml-2.5.2-r0.apk
|
104 KiB |
|
|
|
csfml-dev-2.5.2-r0.apk
|
77 KiB |
|
|
|
csfml-doc-2.5.2-r0.apk
|
204 KiB |
|
|
|
csmith-2.3.0-r2.apk
|
330 KiB |
|
|
|
csmith-doc-2.3.0-r2.apk
|
3.1 KiB |
|
|
|
csol-1.6.0-r0.apk
|
37 KiB |
|
|
|
csol-doc-1.6.0-r0.apk
|
3.8 KiB |
|
|
|
ctorrent-dnh-3.3.2-r2.apk
|
99 KiB |
|
|
|
cups-pdf-3.0.1-r2.apk
|
21 KiB |
|
|
|
curlftpfs-0.9.2-r3.apk
|
27 KiB |
|
|
|
curlftpfs-doc-0.9.2-r3.apk
|
6.1 KiB |
|
|
|
curtail-1.11.1-r0.apk
|
27 KiB |
|
|
|
curtail-lang-1.11.1-r0.apk
|
66 KiB |
|
|
|
cutechess-1.3.1-r0.apk
|
1.1 MiB |
|
|
|
cutechess-cli-1.3.1-r0.apk
|
349 KiB |
|
|
|
cutechess-cli-doc-1.3.1-r0.apk
|
6.6 KiB |
|
|
|
cutechess-doc-1.3.1-r0.apk
|
3.6 KiB |
|
|
|
cvise-2.11.0-r0.apk
|
5.9 MiB |
|
|
|
cvise-pyc-2.11.0-r0.apk
|
60 KiB |
|
|
|
cvs-fast-export-1.65-r0.apk
|
47 KiB |
|
|
|
cvs-fast-export-doc-1.65-r0.apk
|
17 KiB |
|
|
|
cvs-fast-export-tools-1.65-r0.apk
|
8.7 KiB |
|
|
|
cyrus-sasl-xoauth2-0.2-r1.apk
|
6.8 KiB |
|
|
|
cyrus-sasl-xoauth2-doc-0.2-r1.apk
|
2.3 KiB |
|
|
|
cyrus-sasl-xoauth2-static-0.2-r1.apk
|
7.1 KiB |
|
|
|
cz-viator-hourglass-black-20210706-r0.apk
|
219 KiB |
|
|
|
daemontools-0.76-r3.apk
|
66 KiB |
|
|
|
daemontools-openrc-0.76-r3.apk
|
2.0 KiB |
|
|
|
daktilo-0.6.0-r0.apk
|
1.8 MiB |
|
|
|
daktilo-bash-completion-0.6.0-r0.apk
|
2.2 KiB |
|
|
|
daktilo-doc-0.6.0-r0.apk
|
8.7 KiB |
|
|
|
daktilo-fish-completion-0.6.0-r0.apk
|
1.9 KiB |
|
|
|
daktilo-zsh-completion-0.6.0-r0.apk
|
2.3 KiB |
|
|
|
darts-clone-0_git20181117-r1.apk
|
39 KiB |
|
|
|
darts-clone-dev-0_git20181117-r1.apk
|
13 KiB |
|
|
|
dasht-2.4.0-r0.apk
|
14 KiB |
|
|
|
dasht-doc-2.4.0-r0.apk
|
11 KiB |
|
|
|
dasht-zsh-completion-2.4.0-r0.apk
|
2.1 KiB |
|
|
|
davmail-6.3.0-r0.apk
|
9.4 MiB |
|
|
|
dbmate-2.26.0-r2.apk
|
11 MiB |
|
|
|
dbmate-doc-2.26.0-r2.apk
|
2.3 KiB |
|
|
|
dbus-broker-37-r0.apk
|
82 KiB |
|
|
|
dbus-broker-doc-37-r0.apk
|
5.9 KiB |
|
|
|
dcmtk-3.6.9-r0.apk
|
1.3 MiB |
|
|
|
dcmtk-dev-3.6.9-r0.apk
|
1.6 MiB |
|
|
|
dcmtk-doc-3.6.9-r0.apk
|
257 KiB |
|
|
|
dcmtk-openrc-3.6.9-r0.apk
|
1.7 KiB |
|
|
|
dcnnt-0.10.0-r1.apk
|
28 KiB |
|
|
|
dcnnt-doc-0.10.0-r1.apk
|
6.6 KiB |
|
|
|
dcnnt-pyc-0.10.0-r1.apk
|
62 KiB |
|
|
|
ddcci-driver-linux-src-0.4.5-r2.apk
|
19 KiB |
|
|
|
ddgr-2.2-r0.apk
|
20 KiB |
|
|
|
ddgr-bash-completion-2.2-r0.apk
|
2.2 KiB |
|
|
|
ddgr-doc-2.2-r0.apk
|
12 KiB |
|
|
|
ddgr-fish-completion-2.2-r0.apk
|
2.3 KiB |
|
|
|
ddgr-zsh-completion-2.2-r0.apk
|
2.7 KiB |
|
|
|
ddserver-0_git20200930-r1.apk
|
13 KiB |
|
|
|
deadbeef-soxr-20180801-r0.apk
|
6.2 KiB |
|
|
|
debconf-1.5.82-r0.apk
|
69 KiB |
|
|
|
debconf-bash-completion-1.5.82-r0.apk
|
1.9 KiB |
|
|
|
debconf-doc-1.5.82-r0.apk
|
27 KiB |
|
|
|
debconf-lang-1.5.82-r0.apk
|
132 KiB |
|
|
|
debconf-utils-1.5.82-r0.apk
|
6.7 KiB |
|
|
|
decoder-0.7.0-r0.apk
|
2.0 MiB |
|
|
|
decoder-lang-0.7.0-r0.apk
|
59 KiB |
|
|
|
dehydrated-0.7.1-r0.apk
|
26 KiB |
|
|
|
desed-1.2.1-r1.apk
|
403 KiB |
|
|
|
desed-doc-1.2.1-r1.apk
|
2.9 KiB |
|
|
|
desync-0.9.6-r5.apk
|
8.0 MiB |
|
|
|
detox-2.0.0-r0.apk
|
108 KiB |
|
|
|
detox-doc-2.0.0-r0.apk
|
21 KiB |
|
|
|
devil-1.8.0-r0.apk
|
269 KiB |
|
|
|
devil-dev-1.8.0-r0.apk
|
13 KiB |
|
|
|
dewduct-0.2.3-r0.apk
|
1.1 MiB |
|
|
|
dfl-applications-0.2.0-r0.apk
|
36 KiB |
|
|
|
dfl-applications-dev-0.2.0-r0.apk
|
4.1 KiB |
|
|
|
dfl-ipc-0.2.0-r0.apk
|
22 KiB |
|
|
|
dfl-ipc-dev-0.2.0-r0.apk
|
3.6 KiB |
|
|
|
dfl-login1-0.2.0-r0.apk
|
18 KiB |
|
|
|
dfl-login1-dev-0.2.0-r0.apk
|
3.5 KiB |
|
|
|
dfl-sni-0.2.0-r0.apk
|
34 KiB |
|
|
|
dfl-sni-dev-0.2.0-r0.apk
|
4.1 KiB |
|
|
|
dfu-programmer-1.1.0-r0.apk
|
33 KiB |
|
|
|
dfu-programmer-bash-completion-1.1.0-r0.apk
|
2.8 KiB |
|
|
|
dfu-programmer-doc-1.1.0-r0.apk
|
5.8 KiB |
|
|
|
dhewm3-1.5.4-r0.apk
|
5.0 MiB |
|
|
|
diceware-1.0.1-r0.apk
|
334 KiB |
|
|
|
diceware-pyc-1.0.1-r0.apk
|
18 KiB |
|
|
|
disfetch-3.7-r0.apk
|
8.3 KiB |
|
|
|
diskonaut-0.11.0-r3.apk
|
454 KiB |
|
|
|
diskus-0.8.0-r0.apk
|
357 KiB |
|
|
|
dislocker-0.7.3-r5.apk
|
13 KiB |
|
|
|
dislocker-doc-0.7.3-r5.apk
|
6.1 KiB |
|
|
|
dislocker-libs-0.7.3-r5.apk
|
48 KiB |
|
|
|
dive-0.13.0-r2.apk
|
4.0 MiB |
|
|
|
dlib-19.24.4-r0.apk
|
830 KiB |
|
|
|
dlib-dev-19.24.4-r0.apk
|
2.4 MiB |
|
|
|
dmarc-cat-0.15.0-r5.apk
|
2.9 MiB |
|
|
|
dmarc-metrics-exporter-1.2.0-r0.apk
|
25 KiB |
|
|
|
dmarc-metrics-exporter-openrc-1.2.0-r0.apk
|
1.9 KiB |
|
|
|
dmarc-metrics-exporter-pyc-1.2.0-r0.apk
|
46 KiB |
|
|
|
dmenu-wl-0.1-r0.apk
|
17 KiB |
|
|
|
dmenu-wl-doc-0.1-r0.apk
|
4.1 KiB |
|
|
|
dnscontrol-4.21.0-r0.apk
|
16 MiB |
|
|
|
dnscontrol-doc-4.21.0-r0.apk
|
2.3 KiB |
|
|
|
dnscrypt-wrapper-0.4.2-r3.apk
|
30 KiB |
|
|
|
dnsenum-1.3.2-r0.apk
|
21 KiB |
|
|
|
dnsenum-doc-1.3.2-r0.apk
|
5.2 KiB |
|
|
|
dnsperf-2.14.0-r0.apk
|
77 KiB |
|
|
|
dnsperf-doc-2.14.0-r0.apk
|
35 KiB |
|
|
|
dnssec-tools-2.2.3-r13.apk
|
762 KiB |
|
|
|
dnssec-tools-dev-2.2.3-r13.apk
|
186 KiB |
|
|
|
dnssec-tools-doc-2.2.3-r13.apk
|
317 KiB |
|
|
|
doasedit-1.0.7-r0.apk
|
3.6 KiB |
|
|
|
docker-auth-1.13.0-r4.apk
|
10 MiB |
|
|
|
docker-auth-doc-1.13.0-r4.apk
|
10 KiB |
|
|
|
docker-auth-openrc-1.13.0-r4.apk
|
2.1 KiB |
|
|
|
docker-volume-local-persist-1.3.0-r33.apk
|
2.7 MiB |
|
|
|
docker-volume-local-persist-openrc-1.3.0-r33.apk
|
1.8 KiB |
|
|
|
dockerize-0.9.3-r1.apk
|
3.5 MiB |
|
|
|
dodo-0_git20250313-r0.apk
|
187 KiB |
|
|
|
dodo-pyc-0_git20250313-r0.apk
|
87 KiB |
|
|
|
dooit-3.2.2-r0.apk
|
45 KiB |
|
|
|
dooit-extras-0.2.0-r0.apk
|
13 KiB |
|
|
|
dooit-extras-pyc-0.2.0-r0.apk
|
23 KiB |
|
|
|
dooit-pyc-3.2.2-r0.apk
|
100 KiB |
|
|
|
dotenv-linter-3.3.0-r1.apk
|
961 KiB |
|
|
|
downloader-cli-0.3.4-r2.apk
|
2.0 KiB |
|
|
|
draco-1.5.7-r2.apk
|
893 KiB |
|
|
|
draco-dev-1.5.7-r2.apk
|
205 KiB |
|
|
|
draco-static-1.5.7-r2.apk
|
1.4 MiB |
|
|
|
draco-tools-1.5.7-r2.apk
|
1.3 MiB |
|
|
|
draw-0.1.1-r13.apk
|
1014 KiB |
|
|
|
drogon-1.9.4-r2.apk
|
1.6 MiB |
|
|
|
drogon-dev-1.9.4-r2.apk
|
121 KiB |
|
|
|
drogon-doc-1.9.4-r2.apk
|
2.3 KiB |
|
|
|
droidcam-2.1.3-r1.apk
|
18 KiB |
|
|
|
droidcam-gui-2.1.3-r1.apk
|
28 KiB |
|
|
|
drone-cli-1.8.0-r10.apk
|
5.9 MiB |
|
|
|
dropwatch-1.5.5-r0.apk
|
16 KiB |
|
|
|
dropwatch-doc-1.5.5-r0.apk
|
3.7 KiB |
|
|
|
drumgizmo-0.9.20-r1.apk
|
413 KiB |
|
|
|
drupal7-7.103-r0.apk
|
3.3 MiB |
|
|
|
drupal7-doc-7.103-r0.apk
|
58 KiB |
|
|
|
dsp-2.0-r0.apk
|
162 KiB |
|
|
|
dsp-doc-2.0-r0.apk
|
10 KiB |
|
|
|
dstask-0.26-r14.apk
|
1.5 MiB |
|
|
|
dstask-bash-completion-0.26-r14.apk
|
2.1 KiB |
|
|
|
dstask-import-0.26-r14.apk
|
3.6 MiB |
|
|
|
dstask-zsh-completion-0.26-r14.apk
|
1.7 KiB |
|
|
|
dublin-traceroute-0.4.2-r4.apk
|
50 KiB |
|
|
|
dublin-traceroute-contrib-0.4.2-r4.apk
|
2.9 KiB |
|
|
|
dublin-traceroute-dev-0.4.2-r4.apk
|
6.9 KiB |
|
|
|
dublin-traceroute-doc-0.4.2-r4.apk
|
2.3 KiB |
|
|
|
duc-1.4.5-r0.apk
|
87 KiB |
|
|
|
duc-doc-1.4.5-r0.apk
|
9.1 KiB |
|
|
|
duf-0.8.1-r26.apk
|
1.1 MiB |
|
|
|
dufs-0.43.0-r0.apk
|
1.4 MiB |
|
|
|
dufs-bash-completion-0.43.0-r0.apk
|
2.3 KiB |
|
|
|
dufs-doc-0.43.0-r0.apk
|
10 KiB |
|
|
|
dufs-fish-completion-0.43.0-r0.apk
|
2.3 KiB |
|
|
|
dufs-zsh-completion-0.43.0-r0.apk
|
2.7 KiB |
|
|
|
dulcepan-1.0.2-r0.apk
|
21 KiB |
|
|
|
dum-0.1.20-r1.apk
|
358 KiB |
|
|
|
dune-deps-1.3.0-r2.apk
|
747 KiB |
|
|
|
dustracing2d-2.1.1-r1.apk
|
5.2 MiB |
|
|
|
dvdbackup-0.4.2-r1.apk
|
17 KiB |
|
|
|
dvdbackup-doc-0.4.2-r1.apk
|
7.6 KiB |
|
|
|
dvdbackup-lang-0.4.2-r1.apk
|
1.4 KiB |
|
|
|
dvisvgm-3.4.4-r0.apk
|
1.1 MiB |
|
|
|
dvisvgm-doc-3.4.4-r0.apk
|
26 KiB |
|
|
|
dwl-0.7-r0.apk
|
29 KiB |
|
|
|
dwl-doc-0.7-r0.apk
|
3.1 KiB |
|
|
|
e16-1.0.30-r0.apk
|
782 KiB |
|
|
|
e16-doc-1.0.30-r0.apk
|
27 KiB |
|
|
|
e16-lang-1.0.30-r0.apk
|
380 KiB |
|
|
|
eatmemory-0.1.6-r2.apk
|
4.3 KiB |
|
|
|
eboard-1.1.3-r1.apk
|
1.5 MiB |
|
|
|
eboard-doc-1.1.3-r1.apk
|
4.7 KiB |
|
|
|
ecasound-2.9.3-r4.apk
|
729 KiB |
|
|
|
ecasound-dev-2.9.3-r4.apk
|
1.1 MiB |
|
|
|
ecasound-doc-2.9.3-r4.apk
|
38 KiB |
|
|
|
ecos-2.0.10-r0.apk
|
41 KiB |
|
|
|
ecos-dev-2.0.10-r0.apk
|
28 KiB |
|
|
|
edit-1.2.0-r0.apk
|
268 KiB |
|
|
|
edit-doc-1.2.0-r0.apk
|
2.2 KiB |
|
|
|
edward-1.1.0-r0.apk
|
1.8 MiB |
|
|
|
edward-doc-1.1.0-r0.apk
|
5.3 KiB |
|
|
|
efibootguard-0.16-r0.apk
|
105 KiB |
|
|
|
efibootguard-bash-completion-0.16-r0.apk
|
3.6 KiB |
|
|
|
efibootguard-dev-0.16-r0.apk
|
22 KiB |
|
|
|
efibootguard-zsh-completion-0.16-r0.apk
|
2.9 KiB |
|
|
|
efl-1.28.1-r2.apk
|
34 MiB |
|
|
|
efl-dev-1.28.1-r2.apk
|
1.8 MiB |
|
|
|
efl-gdb-1.28.1-r2.apk
|
1.7 KiB |
|
|
|
eiwd-3.8-r0.apk
|
905 KiB |
|
|
|
eiwd-doc-3.8-r0.apk
|
20 KiB |
|
|
|
eiwd-openrc-3.8-r0.apk
|
1.9 KiB |
|
|
|
elementary-calculator-8.0.0-r0.apk
|
71 KiB |
|
|
|
elementary-calculator-lang-8.0.0-r0.apk
|
57 KiB |
|
|
|
elementary-camera-8.0.1-r0.apk
|
87 KiB |
|
|
|
elementary-camera-lang-8.0.1-r0.apk
|
34 KiB |
|
|
|
elementary-dock-8.0.2-r0.apk
|
90 KiB |
|
|
|
elementary-dock-lang-8.0.2-r0.apk
|
28 KiB |
|
|
|
elementary-feedback-8.0.1-r0.apk
|
47 KiB |
|
|
|
elementary-feedback-lang-8.0.1-r0.apk
|
46 KiB |
|
|
|
elementary-icon-theme-8.1.0-r0.apk
|
5.0 MiB |
|
|
|
elementary-music-8.0.0-r0.apk
|
75 KiB |
|
|
|
elementary-music-lang-8.0.0-r0.apk
|
48 KiB |
|
|
|
elementary-photos-8.0.1-r0.apk
|
1.2 MiB |
|
|
|
elementary-photos-lang-8.0.1-r0.apk
|
1.0 MiB |
|
|
|
elementary-settings-daemon-8.3.0-r0.apk
|
85 KiB |
|
|
|
elementary-settings-daemon-lang-8.3.0-r0.apk
|
74 KiB |
|
|
|
elementary-settings-daemon-openrc-8.3.0-r0.apk
|
1.8 KiB |
|
|
|
elementary-sound-theme-1.1.0-r0.apk
|
83 KiB |
|
|
|
elementary-theme-8.1.0-r0.apk
|
1.5 MiB |
|
|
|
elementary-videos-8.0.1-r0.apk
|
114 KiB |
|
|
|
elementary-videos-lang-8.0.1-r0.apk
|
86 KiB |
|
|
|
elf_diff-0.7.1-r3.apk
|
108 KiB |
|
|
|
elf_diff-pyc-0.7.1-r3.apk
|
108 KiB |
|
|
|
elfio-3.12-r0.apk
|
1.4 KiB |
|
|
|
elfio-dev-3.12-r0.apk
|
55 KiB |
|
|
|
eludris-0.3.3-r1.apk
|
1.9 MiB |
|
|
|
eludris-doc-0.3.3-r1.apk
|
2.3 KiB |
|
|
|
emacs-ace-window-0.10.0_git20220911-r0.apk
|
23 KiB |
|
|
|
emacs-avy-0.5.0_git20230420-r0.apk
|
43 KiB |
|
|
|
emacs-avy-embark-collect-1.1-r0.apk
|
3.8 KiB |
|
|
|
emacs-centaur-tabs-3.2_git20230601-r0.apk
|
55 KiB |
|
|
|
emacs-closql-1.2.1_git20240712-r0.apk
|
14 KiB |
|
|
|
emacs-consult-1.4_git20240405-r0.apk
|
138 KiB |
|
|
|
emacs-derl-0_git20231004-r0.apk
|
24 KiB |
|
|
|
emacs-elfeed-3.4.2-r0.apk
|
91 KiB |
|
|
|
emacs-emacsql-3.1.1_git20240714-r0.apk
|
23 KiB |
|
|
|
emacs-emacsql-mysql-3.1.1_git20240714-r0.apk
|
6.1 KiB |
|
|
|
emacs-emacsql-psql-3.1.1_git20240714-r0.apk
|
5.9 KiB |
|
|
|
emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk
|
18 KiB |
|
|
|
emacs-emacsql-sqlite-module-3.1.1_git20240714-r0.apk
|
4.3 KiB |
|
|
|
emacs-embark-1.1-r0.apk
|
111 KiB |
|
|
|
emacs-embark-consult-1.1-r0.apk
|
10 KiB |
|
|
|
emacs-ement-0.16-r0.apk
|
291 KiB |
|
|
|
emacs-epkg-3.3.3_git20240713-r0.apk
|
37 KiB |
|
|
|
emacs-fossil-0_git20230504-r0.apk
|
15 KiB |
|
|
|
emacs-gnosis-0.3.2-r0.apk
|
62 KiB |
|
|
|
emacs-hackernews-0.7.0-r0.apk
|
16 KiB |
|
|
|
emacs-helm-3.9.7_git20240329-r0.apk
|
815 KiB |
|
|
|
emacs-hnreader-0_git20221116-r0.apk
|
9.8 KiB |
|
|
|
emacs-hydra-0.15.0_git20220910-r0.apk
|
46 KiB |
|
|
|
emacs-llama-0.3.1_git20240722-r0.apk
|
9.9 KiB |
|
|
|
emacs-lsp-booster-0.2.1-r0.apk
|
428 KiB |
|
|
|
emacs-lsp-booster-doc-0.2.1-r0.apk
|
2.3 KiB |
|
|
|
emacs-persist-0.6_git20240114-r0.apk
|
6.6 KiB |
|
|
|
emacs-powerline-2.4_git20221110-r0.apk
|
29 KiB |
|
|
|
emacs-sqlite3-api-0.18-r0.apk
|
17 KiB |
|
|
|
emacs-svg-lib-0_git20240219-r0.apk
|
19 KiB |
|
|
|
emacs-taxy-0.10.2-r0.apk
|
12 KiB |
|
|
|
emacs-taxy-magit-section-0.14.3-r0.apk
|
18 KiB |
|
|
|
emacs-total-recall-0_git20250426-r0.apk
|
18 KiB |
|
|
|
emacs-total-recall-examples-0_git20250426-r0.apk
|
14 KiB |
|
|
|
empede-0.2.3-r0.apk
|
1.6 MiB |
|
|
|
empede-doc-0.2.3-r0.apk
|
2.3 KiB |
|
|
|
empede-openrc-0.2.3-r0.apk
|
1.9 KiB |
|
|
|
emulationstation-2.11.2-r1.apk
|
1.3 MiB |
|
|
|
emulationstation-theme-gbz35-2.11.2-r1.apk
|
3.3 MiB |
|
|
|
endeavour-43.0-r2.apk
|
195 KiB |
|
|
|
endeavour-dev-43.0-r2.apk
|
46 KiB |
|
|
|
endeavour-doc-43.0-r2.apk
|
68 KiB |
|
|
|
endeavour-lang-43.0-r2.apk
|
203 KiB |
|
|
|
endless-sky-0.10.2-r0.apk
|
242 MiB |
|
|
|
endless-sky-doc-0.10.2-r0.apk
|
36 KiB |
|
|
|
endlessh-1.1-r1.apk
|
9.6 KiB |
|
|
|
endlessh-doc-1.1-r1.apk
|
2.7 KiB |
|
|
|
enjoy-0.3-r1.apk
|
11 KiB |
|
|
|
enlighten-0.9.2-r1.apk
|
7.3 KiB |
|
|
|
enlighten-doc-0.9.2-r1.apk
|
3.5 KiB |
|
|
|
envconsul-0.13.3-r3.apk
|
5.0 MiB |
|
|
|
envsubst-0.1-r1.apk
|
4.9 KiB |
|
|
|
epoch-1.3.0-r2.apk
|
54 KiB |
|
|
|
epr-2.4.15-r1.apk
|
16 KiB |
|
|
|
epr-pyc-2.4.15-r1.apk
|
24 KiB |
|
|
|
ergo-ldap-0.0.1-r17.apk
|
2.3 MiB |
|
|
|
ergo-ldap-doc-0.0.1-r17.apk
|
2.3 KiB |
|
|
|
errands-46.2.8-r0.apk
|
84 KiB |
|
|
|
errands-lang-46.2.8-r0.apk
|
71 KiB |
|
|
|
espeakup-0.90-r2.apk
|
11 KiB |
|
|
|
espeakup-openrc-0.90-r2.apk
|
1.8 KiB |
|
|
|
esptool-4.8.1-r0.apk
|
424 KiB |
|
|
|
esptool-pyc-4.8.1-r0.apk
|
549 KiB |
|
|
|
ettercap-0.8.3.1-r3.apk
|
561 KiB |
|
|
|
ettercap-doc-0.8.3.1-r3.apk
|
45 KiB |
|
|
|
eva-0.3.1-r2.apk
|
630 KiB |
|
|
|
evolution-on-3.24.4-r0.apk
|
11 KiB |
|
|
|
exabgp-4.2.24-r0.apk
|
385 KiB |
|
|
|
exabgp-doc-4.2.24-r0.apk
|
8.0 KiB |
|
|
|
exabgp-openrc-4.2.24-r0.apk
|
2.2 KiB |
|
|
|
exabgp-pyc-4.2.24-r0.apk
|
778 KiB |
|
|
|
exercism-3.2.0-r13.apk
|
4.3 MiB |
|
|
|
exercism-bash-completion-3.2.0-r13.apk
|
2.0 KiB |
|
|
|
exercism-fish-completion-3.2.0-r13.apk
|
2.4 KiB |
|
|
|
exercism-zsh-completion-3.2.0-r13.apk
|
2.1 KiB |
|
|
|
extrace-0.9-r0.apk
|
9.5 KiB |
|
|
|
extrace-doc-0.9-r0.apk
|
3.5 KiB |
|
|
|
extremetuxracer-0.8.3-r0.apk
|
40 MiB |
|
|
|
extremetuxracer-doc-0.8.3-r0.apk
|
6.7 KiB |
|
|
|
extundelete-0.2.4-r1.apk
|
44 KiB |
|
|
|
f_scripts-0.6-r1.apk
|
1.4 KiB |
|
|
|
f_scripts-f_audio-0.6-r1.apk
|
3.3 KiB |
|
|
|
f_scripts-f_files-0.6-r1.apk
|
2.9 KiB |
|
|
|
f_scripts-f_game-0.6-r1.apk
|
1.9 KiB |
|
|
|
f_scripts-f_maps-0.6-r1.apk
|
2.1 KiB |
|
|
|
f_scripts-f_networks-0.6-r1.apk
|
3.0 KiB |
|
|
|
f_scripts-f_phone-0.6-r1.apk
|
6.0 KiB |
|
|
|
f_scripts-f_rss-0.6-r1.apk
|
2.5 KiB |
|
|
|
f_scripts-f_theme-0.6-r1.apk
|
2.6 KiB |
|
|
|
f_scripts-f_timer-0.6-r1.apk
|
2.4 KiB |
|
|
|
f_scripts-f_web-0.6-r1.apk
|
2.9 KiB |
|
|
|
f_scripts-f_youtube-0.6-r1.apk
|
2.8 KiB |
|
|
|
fabric-3.2.2-r1.apk
|
55 KiB |
|
|
|
fabric-pyc-3.2.2-r1.apk
|
60 KiB |
|
|
|
fakeroot-tcp-1.32.1-r1.apk
|
30 KiB |
|
|
|
fastd-23-r0.apk
|
76 KiB |
|
|
|
fastd-doc-23-r0.apk
|
3.3 KiB |
|
|
|
fastd-openrc-23-r0.apk
|
1.7 KiB |
|
|
|
fatback-1.3-r2.apk
|
30 KiB |
|
|
|
fatback-doc-1.3-r2.apk
|
16 KiB |
|
|
|
fathom-1.3.1-r13.apk
|
5.0 MiB |
|
|
|
fatrace-0.17.0-r0.apk
|
10 KiB |
|
|
|
fatrace-doc-0.17.0-r0.apk
|
3.3 KiB |
|
|
|
fatresize-1.1.0-r1.apk
|
9.5 KiB |
|
|
|
fatresize-doc-1.1.0-r1.apk
|
15 KiB |
|
|
|
faultstat-0.01.11-r0.apk
|
14 KiB |
|
|
|
faultstat-bash-completion-0.01.11-r0.apk
|
2.3 KiB |
|
|
|
faultstat-doc-0.01.11-r0.apk
|
3.0 KiB |
|
|
|
faust-2.79.3-r0.apk
|
8.5 MiB |
|
|
|
faust-dev-2.79.3-r0.apk
|
1.4 MiB |
|
|
|
faust-doc-2.79.3-r0.apk
|
17 MiB |
|
|
|
faust-static-2.79.3-r0.apk
|
536 KiB |
|
|
|
faust-tools-2.79.3-r0.apk
|
121 KiB |
|
|
|
faust-vim-2.79.3-r0.apk
|
2.6 KiB |
|
|
|
fava-1.28-r0.apk
|
1.1 MiB |
|
|
|
fava-pyc-1.28-r0.apk
|
164 KiB |
|
|
|
fbcur-1.0.1-r1.apk
|
4.7 KiB |
|
|
|
fbcur-doc-1.0.1-r1.apk
|
2.2 KiB |
|
|
|
fceux-2.6.6-r2.apk
|
3.0 MiB |
|
|
|
fceux-doc-2.6.6-r2.apk
|
106 KiB |
|
|
|
fdm-materials-5.2.2-r1.apk
|
60 KiB |
|
|
|
featherpad-1.5.1-r0.apk
|
767 KiB |
|
|
|
featherpad-lang-1.5.1-r0.apk
|
463 KiB |
|
|
|
felix-2.16.1-r0.apk
|
684 KiB |
|
|
|
femto-2.21.7-r0.apk
|
57 KiB |
|
|
|
femto-doc-2.21.7-r0.apk
|
27 KiB |
|
|
|
fff-2.2-r0.apk
|
11 KiB |
|
|
|
fff-doc-2.2-r0.apk
|
9.0 KiB |
|
|
|
fflas-ffpack-2.5.0-r3.apk
|
345 KiB |
|
|
|
ffms2-5.0-r0.apk
|
79 KiB |
|
|
|
ffms2-dev-5.0-r0.apk
|
7.6 KiB |
|
|
|
ffms2-doc-5.0-r0.apk
|
30 KiB |
|
|
|
ffsend-0.2.76-r4.apk
|
1.5 MiB |
|
|
|
ffsend-bash-completion-0.2.76-r4.apk
|
3.6 KiB |
|
|
|
ffsend-fish-completion-0.2.76-r4.apk
|
3.6 KiB |
|
|
|
ffsend-zsh-completion-0.2.76-r4.apk
|
4.6 KiB |
|
|
|
fheroes2-1.1.8-r0.apk
|
1.7 MiB |
|
|
|
fheroes2-lang-1.1.8-r0.apk
|
1.7 MiB |
|
|
|
fiery-2.0.0-r0.apk
|
284 KiB |
|
|
|
fiery-lang-2.0.0-r0.apk
|
54 KiB |
|
|
|
fildesh-0.2.0-r0.apk
|
66 KiB |
|
|
|
fildesh-doc-0.2.0-r0.apk
|
2.1 KiB |
|
|
|
fildesh-vim-0.2.0-r0.apk
|
3.5 KiB |
|
|
|
filebrowser-2.27.0-r11.apk
|
7.7 MiB |
|
|
|
filebrowser-openrc-2.27.0-r11.apk
|
1.8 KiB |
|
|
|
fileshelter-6.2.0-r3.apk
|
341 KiB |
|
|
|
fileshelter-openrc-6.2.0-r3.apk
|
1.6 KiB |
|
|
|
filite-0.3.0-r2.apk
|
1.1 MiB |
|
|
|
findtow-0.1-r0.apk
|
4.9 KiB |
|
|
|
finger-0.5-r0.apk
|
6.8 KiB |
|
|
|
finger-doc-0.5-r0.apk
|
3.8 KiB |
|
|
|
firehol-3.1.7-r2.apk
|
85 KiB |
|
|
|
firehol-doc-3.1.7-r2.apk
|
675 KiB |
|
|
|
firehol-openrc-3.1.7-r2.apk
|
2.1 KiB |
|
|
|
flamelens-0.3.1-r0.apk
|
1.2 MiB |
|
|
|
flamelens-doc-0.3.1-r0.apk
|
3.7 KiB |
|
|
|
flann-1.9.2-r1.apk
|
1.7 MiB |
|
|
|
flann-dev-1.9.2-r1.apk
|
951 KiB |
|
|
|
flann-doc-1.9.2-r1.apk
|
2.5 KiB |
|
|
|
flare-engine-1.14-r0.apk
|
4.6 MiB |
|
|
|
flare-engine-doc-1.14-r0.apk
|
2.5 KiB |
|
|
|
flare-game-1.14-r0.apk
|
2.2 KiB |
|
|
|
flatpak-xdg-utils-1.0.6-r0.apk
|
19 KiB |
|
|
|
flatseal-2.3.1-r0.apk
|
43 KiB |
|
|
|
flatseal-doc-2.3.1-r0.apk
|
8.5 KiB |
|
|
|
flatseal-lang-2.3.1-r0.apk
|
79 KiB |
|
|
|
flauschige-uhr-0.1-r1.apk
|
4.2 KiB |
|
|
|
flawz-0.3.0-r0.apk
|
1.2 MiB |
|
|
|
flawz-bash-completion-0.3.0-r0.apk
|
2.1 KiB |
|
|
|
flawz-doc-0.3.0-r0.apk
|
6.0 KiB |
|
|
|
flawz-fish-completion-0.3.0-r0.apk
|
1.9 KiB |
|
|
|
flawz-zsh-completion-0.3.0-r0.apk
|
2.2 KiB |
|
|
|
flint-3.3.1-r0.apk
|
4.8 MiB |
|
|
|
flint-dev-3.3.1-r0.apk
|
311 KiB |
|
|
|
flintqs-1.0-r1.apk
|
22 KiB |
|
|
|
flowd-0.9.1-r11.apk
|
78 KiB |
|
|
|
flowd-dev-0.9.1-r11.apk
|
8.1 KiB |
|
|
|
flowd-doc-0.9.1-r11.apk
|
10 KiB |
|
|
|
flowd-openrc-0.9.1-r11.apk
|
1.9 KiB |
|
|
|
fluent-bit-3.1.10-r1.apk
|
5.7 MiB |
|
|
|
fluent-bit-dev-3.1.10-r1.apk
|
115 KiB |
|
|
|
fluent-bit-openrc-3.1.10-r1.apk
|
1.6 KiB |
|
|
|
fnf-0.1-r0.apk
|
18 KiB |
|
|
|
fnf-doc-0.1-r0.apk
|
4.6 KiB |
|
|
|
foma-0.10.0_git20240712-r0.apk
|
329 KiB |
|
|
|
foma-dev-0.10.0_git20240712-r0.apk
|
8.5 KiB |
|
|
|
font-anonymous-pro-1.002-r2.apk
|
264 KiB |
|
|
|
font-aref-ruqaa-1.006-r0.apk
|
357 KiB |
|
|
|
font-babelstone-han-15.1.3-r0.apk
|
18 MiB |
|
|
|
font-cascadia-2407.24-r1.apk
|
1.3 KiB |
|
|
|
font-cascadia-code-2407.24-r1.apk
|
526 KiB |
|
|
|
font-cascadia-mono-2407.24-r1.apk
|
507 KiB |
|
|
|
font-chivo-0_git20221110-r0.apk
|
792 KiB |
|
|
|
font-chivo-mono-0_git20221110-r0.apk
|
626 KiB |
|
|
|
font-comic-neue-2.51-r0.apk
|
249 KiB |
|
|
|
font-comic-neue-doc-2.51-r0.apk
|
1004 KiB |
|
|
|
font-commit-mono-1.143-r0.apk
|
251 KiB |
|
|
|
font-cousine-0_git20210228-r0.apk
|
110 KiB |
|
|
|
font-fantasque-sans-1.8.0-r0.apk
|
1.2 KiB |
|
|
|
font-fantasque-sans-doc-1.8.0-r0.apk
|
5.5 KiB |
|
|
|
font-fantasque-sans-largelineheight-1.8.0-r0.apk
|
316 KiB |
|
|
|
font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk
|
316 KiB |
|
|
|
font-fantasque-sans-noloopk-1.8.0-r0.apk
|
316 KiB |
|
|
|
font-fantasque-sans-normal-1.8.0-r0.apk
|
316 KiB |
|
|
|
font-fira-code-6.2-r0.apk
|
836 KiB |
|
|
|
font-fira-code-vf-6.2-r0.apk
|
145 KiB |
|
|
|
font-firamath-0.3.4-r0.apk
|
118 KiB |
|
|
|
font-fontawesome-4-4.7.0-r3.apk
|
205 KiB |
|
|
|
font-hanazono-20170904-r1.apk
|
29 MiB |
|
|
|
font-intel-one-mono-1.3.0-r0.apk
|
282 KiB |
|
|
|
font-katex-0.16.2-r0.apk
|
852 KiB |
|
|
|
font-material-icons-4.0.0-r0.apk
|
652 KiB |
|
|
|
font-monaspace-1.101-r0.apk
|
1.5 KiB |
|
|
|
font-monaspace-argon-1.101-r0.apk
|
2.2 MiB |
|
|
|
font-monaspace-krypton-1.101-r0.apk
|
2.1 MiB |
|
|
|
font-monaspace-neon-1.101-r0.apk
|
2.1 MiB |
|
|
|
font-monaspace-radon-1.101-r0.apk
|
2.7 MiB |
|
|
|
font-monaspace-xenon-1.101-r0.apk
|
2.3 MiB |
|
|
|
font-monocraft-4.0-r0.apk
|
677 KiB |
|
|
|
font-openmoji-15.1.0-r0.apk
|
3.6 MiB |
|
|
|
font-siji-20190218_git-r2.apk
|
24 KiB |
|
|
|
font-stix-otf-2.13-r0.apk
|
2.0 MiB |
|
|
|
font-stix-ttf-2.13-r0.apk
|
430 KiB |
|
|
|
font-tamzen-1.11.5-r1.apk
|
62 KiB |
|
|
|
font-tinos-0_git20210228-r0.apk
|
199 KiB |
|
|
|
font-tiresias-0_git20200704-r0.apk
|
568 KiB |
|
|
|
font-tiresias-doc-0_git20200704-r0.apk
|
58 KiB |
|
|
|
foolsm-1.0.21-r0.apk
|
34 KiB |
|
|
|
foolsm-doc-1.0.21-r0.apk
|
3.9 KiB |
|
|
|
foolsm-openrc-1.0.21-r0.apk
|
1.5 KiB |
|
|
|
fpc-3.2.2-r4.apk
|
70 MiB |
|
|
|
fpc-doc-3.2.2-r4.apk
|
1.2 MiB |
|
|
|
fpc-stage0-3.2.2-r3.apk
|
6.2 MiB |
|
|
|
fplll-5.5.0-r0.apk
|
53 KiB |
|
|
|
fplll-dev-5.5.0-r0.apk
|
78 KiB |
|
|
|
fplll-libs-5.5.0-r0.apk
|
5.8 MiB |
|
|
|
fplll-static-5.5.0-r0.apk
|
6.5 MiB |
|
|
|
fplll-strategies-5.5.0-r0.apk
|
1.7 MiB |
|
|
|
fpp-0.9.5-r0.apk
|
29 KiB |
|
|
|
fpp-doc-0.9.5-r0.apk
|
5.6 KiB |
|
|
|
fq-0.13.0-r5.apk
|
4.6 MiB |
|
|
|
freealut-1.1.0-r1.apk
|
19 KiB |
|
|
|
freealut-dev-1.1.0-r1.apk
|
25 KiB |
|
|
|
freediameter-1.5.0-r1.apk
|
9.0 KiB |
|
|
|
freediameter-dev-1.5.0-r1.apk
|
54 KiB |
|
|
|
freediameter-extensions-1.5.0-r1.apk
|
323 KiB |
|
|
|
freediameter-libfdcore-1.5.0-r1.apk
|
159 KiB |
|
|
|
freediameter-libfdproto-1.5.0-r1.apk
|
87 KiB |
|
|
|
frescobaldi-3.3.0-r1.apk
|
3.5 MiB |
|
|
|
frescobaldi-doc-3.3.0-r1.apk
|
2.5 KiB |
|
|
|
frescobaldi-pyc-3.3.0-r1.apk
|
1.2 MiB |
|
|
|
freshrss-1.23.1-r1.apk
|
1.5 MiB |
|
|
|
freshrss-doc-1.23.1-r1.apk
|
751 KiB |
|
|
|
freshrss-lang-1.23.1-r1.apk
|
379 KiB |
|
|
|
freshrss-mysql-1.23.1-r1.apk
|
1.5 KiB |
|
|
|
freshrss-openrc-1.23.1-r1.apk
|
2.5 KiB |
|
|
|
freshrss-pgsql-1.23.1-r1.apk
|
1.5 KiB |
|
|
|
freshrss-sqlite-1.23.1-r1.apk
|
1.5 KiB |
|
|
|
freshrss-themes-1.23.1-r1.apk
|
1.5 MiB |
|
|
|
fulcrum-1.9.8-r1.apk
|
967 KiB |
|
|
|
fulcrum-admin-1.9.8-r1.apk
|
7.9 KiB |
|
|
|
fulcrum-doc-1.9.8-r1.apk
|
22 KiB |
|
|
|
fungw-1.2.1-r0.apk
|
13 KiB |
|
|
|
fungw-c-1.2.1-r0.apk
|
8.2 KiB |
|
|
|
fungw-cli-1.2.1-r0.apk
|
22 KiB |
|
|
|
fungw-dev-1.2.1-r0.apk
|
7.6 KiB |
|
|
|
fungw-doc-1.2.1-r0.apk
|
13 KiB |
|
|
|
fungw-duktape-1.2.1-r0.apk
|
17 KiB |
|
|
|
fungw-fawk-1.2.1-r0.apk
|
112 KiB |
|
|
|
fungw-lua-1.2.1-r0.apk
|
15 KiB |
|
|
|
fungw-mujs-1.2.1-r0.apk
|
16 KiB |
|
|
|
fungw-perl-1.2.1-r0.apk
|
46 KiB |
|
|
|
fungw-python3-1.2.1-r0.apk
|
26 KiB |
|
|
|
fungw-tcl-1.2.1-r0.apk
|
13 KiB |
|
|
|
fusee-nano-0.5.3-r1.apk
|
21 KiB |
|
|
|
fusee-nano-udev-0.5.3-r1.apk
|
1.7 KiB |
|
|
|
fuseiso-20070708-r0.apk
|
17 KiB |
|
|
|
fuseiso-doc-20070708-r0.apk
|
2.6 KiB |
|
|
|
fusesoc-2.3-r0.apk
|
46 KiB |
|
|
|
fusesoc-pyc-2.3-r0.apk
|
89 KiB |
|
|
|
fxfloorboard-katana-mk2-20240515-r1.apk
|
5.6 MiB |
|
|
|
fxfloorboard-katana-mk2-doc-20240515-r1.apk
|
1.1 MiB |
|
|
|
fzy-1.0-r4.apk
|
15 KiB |
|
|
|
fzy-doc-1.0-r4.apk
|
3.6 KiB |
|
|
|
gambit-4.9.5-r1.apk
|
9.8 MiB |
|
|
|
gambit-dev-4.9.5-r1.apk
|
6.6 MiB |
|
|
|
gambit-doc-4.9.5-r1.apk
|
4.3 KiB |
|
|
|
game-devices-udev-0.23-r0.apk
|
6.2 KiB |
|
|
|
gamemode-1.8.2-r0.apk
|
68 KiB |
|
|
|
gamemode-dev-1.8.2-r0.apk
|
5.1 KiB |
|
|
|
gamemode-doc-1.8.2-r0.apk
|
7.5 KiB |
|
|
|
gamja-1.0.0_beta11-r0.apk
|
616 KiB |
|
|
|
gamja-doc-1.0.0_beta11-r0.apk
|
2.3 KiB |
|
|
|
gammastep-2.0.9-r3.apk
|
90 KiB |
|
|
|
gammastep-doc-2.0.9-r3.apk
|
14 KiB |
|
|
|
gammastep-lang-2.0.9-r3.apk
|
78 KiB |
|
|
|
gammastep-pyc-2.0.9-r3.apk
|
17 KiB |
|
|
|
gatling-0.16-r6.apk
|
158 KiB |
|
|
|
gatling-doc-0.16-r6.apk
|
9.1 KiB |
|
|
|
gatling-openrc-0.16-r6.apk
|
2.8 KiB |
|
|
|
gaupol-1.12-r2.apk
|
276 KiB |
|
|
|
gaupol-doc-1.12-r2.apk
|
2.4 KiB |
|
|
|
gaupol-lang-1.12-r2.apk
|
277 KiB |
|
|
|
gaupol-pyc-1.12-r2.apk
|
419 KiB |
|
|
|
gb-0.4.4-r31.apk
|
7.4 MiB |
|
|
|
gcli-2.6.1-r0.apk
|
122 KiB |
|
|
|
gcli-doc-2.6.1-r0.apk
|
28 KiB |
|
|
|
gdcm-3.0.24-r0.apk
|
399 KiB |
|
|
|
gdcm-dev-3.0.24-r0.apk
|
463 KiB |
|
|
|
gdcm-doc-3.0.24-r0.apk
|
55 KiB |
|
|
|
gdcm-doc-html-3.0.24-r0.apk
|
8.7 MiB |
|
|
|
gdcm-doc-pdf-3.0.24-r0.apk
|
14 MiB |
|
|
|
gearman-dev-1.1.21-r2.apk
|
1.0 MiB |
|
|
|
gearman-libs-1.1.21-r2.apk
|
86 KiB |
|
|
|
gearmand-1.1.21-r2.apk
|
197 KiB |
|
|
|
gearmand-doc-1.1.21-r2.apk
|
186 KiB |
|
|
|
gearmand-openrc-1.1.21-r2.apk
|
1.8 KiB |
|
|
|
gede-2.18.2-r1.apk
|
290 KiB |
|
|
|
genact-1.4.2-r0.apk
|
1.3 MiB |
|
|
|
geoclue-stumbler-0.2.0-r0.apk
|
28 KiB |
|
|
|
geodns-3.3.0-r13.apk
|
5.1 MiB |
|
|
|
geodns-logs-3.3.0-r13.apk
|
4.6 MiB |
|
|
|
geodns-openrc-3.3.0-r13.apk
|
1.8 KiB |
|
|
|
geomyidae-0.34-r2.apk
|
16 KiB |
|
|
|
geomyidae-doc-0.34-r2.apk
|
7.7 KiB |
|
|
|
geomyidae-openrc-0.34-r2.apk
|
2.0 KiB |
|
|
|
geonames-0.3.1-r2.apk
|
827 KiB |
|
|
|
geonames-dev-0.3.1-r2.apk
|
3.0 KiB |
|
|
|
geonames-doc-0.3.1-r2.apk
|
13 KiB |
|
|
|
geonames-lang-0.3.1-r2.apk
|
4.6 MiB |
|
|
|
getmail6-6.19.08-r0.apk
|
71 KiB |
|
|
|
getmail6-doc-6.19.08-r0.apk
|
138 KiB |
|
|
|
getmail6-pyc-6.19.08-r0.apk
|
104 KiB |
|
|
|
getssl-2.48-r0.apk
|
82 KiB |
|
|
|
getting-things-gnome-0.6-r4.apk
|
715 KiB |
|
|
|
getting-things-gnome-doc-0.6-r4.apk
|
497 KiB |
|
|
|
getting-things-gnome-lang-0.6-r4.apk
|
229 KiB |
|
|
|
gf2x-1.3.0-r1.apk
|
42 KiB |
|
|
|
gf2x-dev-1.3.0-r1.apk
|
64 KiB |
|
|
|
ghc-filesystem-1.5.14-r0.apk
|
39 KiB |
|
|
|
ghq-1.8.0-r1.apk
|
3.9 MiB |
|
|
|
ghq-bash-completion-1.8.0-r1.apk
|
1.8 KiB |
|
|
|
ghq-doc-1.8.0-r1.apk
|
5.5 KiB |
|
|
|
ghq-fish-completion-1.8.0-r1.apk
|
2.6 KiB |
|
|
|
ghq-zsh-completion-1.8.0-r1.apk
|
2.5 KiB |
|
|
|
gimp-plugin-gmic-3.5.4-r0.apk
|
1.4 MiB |
|
|
|
ginac-1.8.9-r0.apk
|
1.2 MiB |
|
|
|
ginac-dev-1.8.9-r0.apk
|
68 KiB |
|
|
|
ginac-doc-1.8.9-r0.apk
|
98 KiB |
|
|
|
ginger-2.4.0-r7.apk
|
257 KiB |
|
|
|
ginger-lang-2.4.0-r7.apk
|
125 KiB |
|
|
|
ginger-pyc-2.4.0-r7.apk
|
207 KiB |
|
|
|
gingerbase-2.3.0-r7.apk
|
195 KiB |
|
|
|
gingerbase-lang-2.3.0-r7.apk
|
53 KiB |
|
|
|
gingerbase-pyc-2.3.0-r7.apk
|
62 KiB |
|
|
|
git-bug-0.8.1-r1.apk
|
10 MiB |
|
|
|
git-bug-bash-completion-0.8.1-r1.apk
|
5.3 KiB |
|
|
|
git-bug-doc-0.8.1-r1.apk
|
17 KiB |
|
|
|
git-bug-fish-completion-0.8.1-r1.apk
|
4.3 KiB |
|
|
|
git-bug-zsh-completion-0.8.1-r1.apk
|
4.1 KiB |
|
|
|
git-extras-7.3.0-r0.apk
|
55 KiB |
|
|
|
git-extras-bash-completion-7.3.0-r0.apk
|
2.8 KiB |
|
|
|
git-extras-doc-7.3.0-r0.apk
|
63 KiB |
|
|
|
git-graph-0.6.0-r0.apk
|
876 KiB |
|
|
|
git-graph-doc-0.6.0-r0.apk
|
6.2 KiB |
|
|
|
git-quick-stats-2.5.8-r0.apk
|
12 KiB |
|
|
|
git-quick-stats-doc-2.5.8-r0.apk
|
2.9 KiB |
|
|
|
git-revise-0.7.0-r5.apk
|
24 KiB |
|
|
|
git-revise-doc-0.7.0-r5.apk
|
5.0 KiB |
|
|
|
git-revise-pyc-0.7.0-r5.apk
|
42 KiB |
|
|
|
git-secret-0.5.0-r0.apk
|
15 KiB |
|
|
|
git-secret-doc-0.5.0-r0.apk
|
17 KiB |
|
|
|
git2json-0.2.3-r8.apk
|
7.4 KiB |
|
|
|
git2json-pyc-0.2.3-r8.apk
|
5.7 KiB |
|
|
|
gitoxide-0.14.0-r1.apk
|
2.8 MiB |
|
|
|
gkrellm-2.3.11-r0.apk
|
346 KiB |
|
|
|
gkrellm-dev-2.3.11-r0.apk
|
16 KiB |
|
|
|
gkrellm-doc-2.3.11-r0.apk
|
18 KiB |
|
|
|
gkrellm-lang-2.3.11-r0.apk
|
379 KiB |
|
|
|
gkrellm-server-2.3.11-r0.apk
|
52 KiB |
|
|
|
glfw-wayland-3.3.8-r3.apk
|
63 KiB |
|
|
|
glfw-wayland-dbg-3.3.8-r3.apk
|
179 KiB |
|
|
|
glfw-wayland-dev-3.3.8-r3.apk
|
46 KiB |
|
|
|
gliderlabs-sigil-0.11.0-r5.apk
|
3.4 MiB |
|
|
|
gliderlabs-sigil-doc-0.11.0-r5.apk
|
2.4 KiB |
|
|
|
glmark2-2023.01-r1.apk
|
8.1 MiB |
|
|
|
glmark2-doc-2023.01-r1.apk
|
13 KiB |
|
|
|
gloox-1.0.28-r0.apk
|
410 KiB |
|
|
|
gloox-dev-1.0.28-r0.apk
|
878 KiB |
|
|
|
glow-2.1.1-r0.apk
|
6.3 MiB |
|
|
|
glow-bash-completion-2.1.1-r0.apk
|
6.1 KiB |
|
|
|
glow-doc-2.1.1-r0.apk
|
3.2 KiB |
|
|
|
glow-fish-completion-2.1.1-r0.apk
|
4.3 KiB |
|
|
|
glow-zsh-completion-2.1.1-r0.apk
|
4.0 KiB |
|
|
|
glslviewer-3.2.4-r1.apk
|
1.9 MiB |
|
|
|
gmcapsule-0.9.7-r0.apk
|
36 KiB |
|
|
|
gmcapsule-openrc-0.9.7-r0.apk
|
1.9 KiB |
|
|
|
gmcapsule-pyc-0.9.7-r0.apk
|
61 KiB |
|
|
|
gmenuharness-0.1.4-r2.apk
|
40 KiB |
|
|
|
gmenuharness-dev-0.1.4-r2.apk
|
4.1 KiB |
|
|
|
gmic-3.5.4-r0.apk
|
12 MiB |
|
|
|
gmic-bash-completion-3.5.4-r0.apk
|
28 KiB |
|
|
|
gmic-dev-3.5.4-r0.apk
|
7.6 KiB |
|
|
|
gmic-doc-3.5.4-r0.apk
|
222 KiB |
|
|
|
gmic-libs-3.5.4-r0.apk
|
1.2 MiB |
|
|
|
gmic-qt-3.5.4-r0.apk
|
1.7 MiB |
|
|
|
gmid-2.1.1-r0.apk
|
234 KiB |
|
|
|
gmid-doc-2.1.1-r0.apk
|
14 KiB |
|
|
|
gmid-openrc-2.1.1-r0.apk
|
2.2 KiB |
|
|
|
gnome-common-3.18.0-r3.apk
|
12 KiB |
|
|
|
gnome-latex-3.48.0-r0.apk
|
368 KiB |
|
|
|
gnome-latex-doc-3.48.0-r0.apk
|
108 KiB |
|
|
|
gnome-latex-lang-3.48.0-r0.apk
|
530 KiB |
|
|
|
gnome-metronome-1.3.0-r0.apk
|
451 KiB |
|
|
|
gnome-metronome-lang-1.3.0-r0.apk
|
25 KiB |
|
|
|
gnome-mimeapps-0.1-r0.apk
|
3.7 KiB |
|
|
|
gnome-user-share-48.1-r0.apk
|
342 KiB |
|
|
|
gnome-user-share-lang-48.1-r0.apk
|
68 KiB |
|
|
|
gnu-apl-1.9-r0.apk
|
1.3 MiB |
|
|
|
gnu-apl-dev-1.9-r0.apk
|
560 KiB |
|
|
|
gnu-apl-doc-1.9-r0.apk
|
1.6 MiB |
|
|
|
go-away-0.7.0-r0.apk
|
8.3 MiB |
|
|
|
go-away-openrc-0.7.0-r0.apk
|
2.3 KiB |
|
|
|
go-jsonnet-0.21.0-r0.apk
|
6.6 MiB |
|
|
|
go-mtpfs-1.0.0-r27.apk
|
1.2 MiB |
|
|
|
go-passbolt-cli-0.3.2-r3.apk
|
6.4 MiB |
|
|
|
gobang-0.1.0_alpha5-r1.apk
|
1.9 MiB |
|
|
|
gobuster-3.6.0-r12.apk
|
3.7 MiB |
|
|
|
godini-1.0.0-r0.apk
|
1.5 MiB |
|
|
|
godini-doc-1.0.0-r0.apk
|
15 KiB |
|
|
|
godot-4.4.1-r0.apk
|
58 MiB |
|
|
|
godot-doc-4.4.1-r0.apk
|
4.6 KiB |
|
|
|
godot-templates-4.4.1-r0.apk
|
47 MiB |
|
|
|
gomp-1.0.0-r12.apk
|
3.7 MiB |
|
|
|
goomwwm-1.0.0-r5.apk
|
46 KiB |
|
|
|
goreman-0.3.15-r13.apk
|
2.6 MiB |
|
|
|
goshs-1.1.0-r0.apk
|
6.4 MiB |
|
|
|
goshs-doc-1.1.0-r0.apk
|
2.3 KiB |
|
|
|
gost-3.1.0-r0.apk
|
12 MiB |
|
|
|
gost-doc-3.1.0-r0.apk
|
2.2 KiB |
|
|
|
gotify-2.5.0-r6.apk
|
10 MiB |
|
|
|
gotify-cli-2.3.2-r5.apk
|
4.4 MiB |
|
|
|
gotify-openrc-2.5.0-r6.apk
|
2.0 KiB |
|
|
|
goxel-0.15.1-r0.apk
|
1.8 MiB |
|
|
|
gpa-0.10.0-r2.apk
|
252 KiB |
|
|
|
gpa-doc-0.10.0-r2.apk
|
2.9 KiB |
|
|
|
gpg-remailer-3.04.07-r1.apk
|
50 KiB |
|
|
|
gpg-remailer-doc-3.04.07-r1.apk
|
9.5 KiB |
|
|
|
gprbuild-22.0.0-r3.apk
|
13 MiB |
|
|
|
gpscorrelate-2.3-r0.apk
|
54 KiB |
|
|
|
gpscorrelate-cli-2.3-r0.apk
|
28 KiB |
|
|
|
gpscorrelate-doc-2.3-r0.apk
|
285 KiB |
|
|
|
gpscorrelate-lang-2.3-r0.apk
|
17 KiB |
|
|
|
gr-satellites-5.5.0-r5.apk
|
510 KiB |
|
|
|
gr-satellites-dev-5.5.0-r5.apk
|
13 KiB |
|
|
|
gr-satellites-doc-5.5.0-r5.apk
|
4.5 KiB |
|
|
|
granite7-7.5.0-r0.apk
|
124 KiB |
|
|
|
granite7-dev-7.5.0-r0.apk
|
44 KiB |
|
|
|
granite7-lang-7.5.0-r0.apk
|
52 KiB |
|
|
|
grcov-0.8.20-r0.apk
|
1.9 MiB |
|
|
|
greetd-mini-wl-greeter-0_git20230821-r0.apk
|
20 KiB |
|
|
|
greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk
|
2.2 KiB |
|
|
|
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk
|
3.3 KiB |
|
|
|
grip-4.2.4-r0.apk
|
388 KiB |
|
|
|
grip-doc-4.2.4-r0.apk
|
6.2 KiB |
|
|
|
grip-lang-4.2.4-r0.apk
|
144 KiB |
|
|
|
gron-0.7.1-r25.apk
|
2.7 MiB |
|
|
|
gron-doc-0.7.1-r25.apk
|
6.3 KiB |
|
|
|
grpc-health-check-0.1.1-r3.apk
|
1019 KiB |
|
|
|
grpcui-1.4.3-r4.apk
|
9.0 MiB |
|
|
|
grpcurl-1.9.3-r2.apk
|
8.6 MiB |
|
|
|
gsettings-qt-1.0.0-r0.apk
|
31 KiB |
|
|
|
gsettings-qt-dev-1.0.0-r0.apk
|
3.5 KiB |
|
|
|
gsimplecal-2.5.1-r0.apk
|
17 KiB |
|
|
|
gsimplecal-doc-2.5.1-r0.apk
|
5.8 KiB |
|
|
|
gssdp-1.6.4-r0.apk
|
47 KiB |
|
|
|
gssdp-dev-1.6.4-r0.apk
|
16 KiB |
|
|
|
gstreamermm-1.10.0-r6.apk
|
511 KiB |
|
|
|
gstreamermm-dev-1.10.0-r6.apk
|
310 KiB |
|
|
|
gtk-session-lock-0.2.0-r0.apk
|
38 KiB |
|
|
|
gtk-session-lock-dev-0.2.0-r0.apk
|
5.3 KiB |
|
|
|
gtkhash-1.5-r0.apk
|
90 KiB |
|
|
|
gtkhash-lang-1.5-r0.apk
|
47 KiB |
|
|
|
gtklock-4.0.0-r0.apk
|
20 KiB |
|
|
|
gtklock-doc-4.0.0-r0.apk
|
3.0 KiB |
|
|
|
gtkwave-3.3.120-r0.apk
|
2.6 MiB |
|
|
|
gtkwave-doc-3.3.120-r0.apk
|
27 KiB |
|
|
|
guake-3.10-r1.apk
|
305 KiB |
|
|
|
guake-lang-3.10-r1.apk
|
188 KiB |
|
|
|
guake-pyc-3.10-r1.apk
|
186 KiB |
|
|
|
guestfs-tools-1.52.0-r3.apk
|
277 KiB |
|
|
|
guetzli-0_git20191025-r2.apk
|
167 KiB |
|
|
|
guetzli-dev-0_git20191025-r2.apk
|
2.3 MiB |
|
|
|
gufw-24.04-r3.apk
|
596 KiB |
|
|
|
gufw-doc-24.04-r3.apk
|
4.5 KiB |
|
|
|
gufw-lang-24.04-r3.apk
|
855 KiB |
|
|
|
gufw-pyc-24.04-r3.apk
|
65 KiB |
|
|
|
guish-2.6.11-r0.apk
|
103 KiB |
|
|
|
guish-doc-2.6.11-r0.apk
|
61 KiB |
|
|
|
gummiboot-48.1-r10.apk
|
47 KiB |
|
|
|
gummiboot-doc-48.1-r10.apk
|
2.9 KiB |
|
|
|
gummiboot-efistub-48.1-r10.apk
|
24 KiB |
|
|
|
gupnp-1.6.9-r0.apk
|
90 KiB |
|
|
|
gupnp-av-0.14.4-r0.apk
|
80 KiB |
|
|
|
gupnp-av-dev-0.14.4-r0.apk
|
42 KiB |
|
|
|
gupnp-dev-1.6.9-r0.apk
|
50 KiB |
|
|
|
gupnp-dlna-0.12.0-r0.apk
|
69 KiB |
|
|
|
gupnp-dlna-dev-0.12.0-r0.apk
|
24 KiB |
|
|
|
gupnp-doc-1.6.9-r0.apk
|
3.8 KiB |
|
|
|
gx-0.14.3-r30.apk
|
4.9 MiB |
|
|
|
gx-doc-0.14.3-r30.apk
|
2.3 KiB |
|
|
|
gx-go-1.9.0-r32.apk
|
5.1 MiB |
|
|
|
gx-go-doc-1.9.0-r32.apk
|
2.3 KiB |
|
|
|
gxlimg-0_git20240711-r0.apk
|
21 KiB |
|
|
|
h4h5tools-2.2.5-r4.apk
|
109 KiB |
|
|
|
h4h5tools-dev-2.2.5-r4.apk
|
8.8 KiB |
|
|
|
h4h5tools-doc-2.2.5-r4.apk
|
2.7 KiB |
|
|
|
h4h5tools-static-2.2.5-r4.apk
|
103 KiB |
|
|
|
habitctl-0.1.0-r2.apk
|
332 KiB |
|
|
|
halp-0.2.0-r0.apk
|
926 KiB |
|
|
|
halp-bash-completion-0.2.0-r0.apk
|
2.2 KiB |
|
|
|
halp-doc-0.2.0-r0.apk
|
6.9 KiB |
|
|
|
halp-fish-completion-0.2.0-r0.apk
|
2.0 KiB |
|
|
|
halp-zsh-completion-0.2.0-r0.apk
|
2.4 KiB |
|
|
|
hamster-time-tracker-3.0.3-r2.apk
|
156 KiB |
|
|
|
hamster-time-tracker-bash-completion-3.0.3-r2.apk
|
2.0 KiB |
|
|
|
hamster-time-tracker-doc-3.0.3-r2.apk
|
116 KiB |
|
|
|
hamster-time-tracker-lang-3.0.3-r2.apk
|
206 KiB |
|
|
|
hamster-time-tracker-pyc-3.0.3-r2.apk
|
358 KiB |
|
|
|
handlebars-1.0.0-r1.apk
|
107 KiB |
|
|
|
handlebars-dev-1.0.0-r1.apk
|
32 KiB |
|
|
|
handlebars-utils-1.0.0-r1.apk
|
9.8 KiB |
|
|
|
hardinfo2-2.2.10-r0.apk
|
3.4 MiB |
|
|
|
hardinfo2-doc-2.2.10-r0.apk
|
2.7 KiB |
|
|
|
hardinfo2-lang-2.2.10-r0.apk
|
273 KiB |
|
|
|
hardinfo2-openrc-2.2.10-r0.apk
|
2.0 KiB |
|
|
|
harminv-1.4.2-r1.apk
|
8.0 KiB |
|
|
|
harminv-dev-1.4.2-r1.apk
|
3.1 KiB |
|
|
|
harminv-doc-1.4.2-r1.apk
|
5.7 KiB |
|
|
|
harminv-libs-1.4.2-r1.apk
|
15 KiB |
|
|
|
hashcat-6.2.6-r0.apk
|
67 MiB |
|
|
|
hashcat-doc-6.2.6-r0.apk
|
2.1 MiB |
|
|
|
hatch-1.14.1-r0.apk
|
102 KiB |
|
|
|
hatch-pyc-1.14.1-r0.apk
|
216 KiB |
|
|
|
hatop-0.8.2-r0.apk
|
18 KiB |
|
|
|
hatop-doc-0.8.2-r0.apk
|
3.0 KiB |
|
|
|
haxe-4.3.3-r1.apk
|
9.6 MiB |
|
|
|
haxe-doc-4.3.3-r1.apk
|
7.8 KiB |
|
|
|
hctl-0.2.7-r0.apk
|
1.3 MiB |
|
|
|
hddfancontrol-1.6.2-r0.apk
|
33 KiB |
|
|
|
hddfancontrol-openrc-1.6.2-r0.apk
|
2.2 KiB |
|
|
|
hddfancontrol-pyc-1.6.2-r0.apk
|
34 KiB |
|
|
|
hdf4-4.2.15-r2.apk
|
240 KiB |
|
|
|
hdf4-dev-4.2.15-r2.apk
|
101 KiB |
|
|
|
hdf4-doc-4.2.15-r2.apk
|
6.0 KiB |
|
|
|
hdf4-tools-4.2.15-r2.apk
|
186 KiB |
|
|
|
heisenbridge-1.15.3-r0.apk
|
67 KiB |
|
|
|
heisenbridge-pyc-1.15.3-r0.apk
|
155 KiB |
|
|
|
helm-diff-3.11.0-r1.apk
|
23 MiB |
|
|
|
helm-ls-0.0.12-r9.apk
|
12 MiB |
|
|
|
helm-ls-doc-0.0.12-r9.apk
|
2.3 KiB |
|
|
|
helm-mapkubeapis-0.5.2-r6.apk
|
21 MiB |
|
|
|
helm-unittest-0.8.2-r0.apk
|
13 MiB |
|
|
|
helmfile-1.1.2-r0.apk
|
49 MiB |
|
|
|
helmfile-bash-completion-1.1.2-r0.apk
|
6.1 KiB |
|
|
|
helmfile-doc-1.1.2-r0.apk
|
2.2 KiB |
|
|
|
helmfile-fish-completion-1.1.2-r0.apk
|
4.3 KiB |
|
|
|
helmfile-zsh-completion-1.1.2-r0.apk
|
4.0 KiB |
|
|
|
herbe-1.0.0-r0.apk
|
5.6 KiB |
|
|
|
hex-0.6.0-r0.apk
|
298 KiB |
|
|
|
hexdiff-0.0.53-r3.apk
|
16 KiB |
|
|
|
hexdiff-doc-0.0.53-r3.apk
|
3.7 KiB |
|
|
|
hexedit-1.6_git20230905-r0.apk
|
20 KiB |
|
|
|
hexedit-doc-1.6_git20230905-r0.apk
|
5.6 KiB |
|
|
|
hfst-3.16.2-r0.apk
|
1.5 MiB |
|
|
|
hfst-dev-3.16.2-r0.apk
|
209 KiB |
|
|
|
hfst-doc-3.16.2-r0.apk
|
70 KiB |
|
|
|
hfst-libs-3.16.2-r0.apk
|
2.1 MiB |
|
|
|
hiawatha-11.6-r1.apk
|
206 KiB |
|
|
|
hiawatha-doc-11.6-r1.apk
|
21 KiB |
|
|
|
hiawatha-letsencrypt-11.6-r1.apk
|
17 KiB |
|
|
|
hiawatha-openrc-11.6-r1.apk
|
1.7 KiB |
|
|
|
hidrd-0.2.0_git20190603-r1.apk
|
74 KiB |
|
|
|
hidrd-dev-0.2.0_git20190603-r1.apk
|
129 KiB |
|
|
|
highfive-2.10.1-r0.apk
|
76 KiB |
|
|
|
hikari-2.3.3-r6.apk
|
947 KiB |
|
|
|
hikari-doc-2.3.3-r6.apk
|
14 KiB |
|
|
|
hikari-unlocker-2.3.3-r6.apk
|
4.1 KiB |
|
|
|
hilbish-2.3.4-r5.apk
|
3.6 MiB |
|
|
|
hilbish-doc-2.3.4-r5.apk
|
25 KiB |
|
|
|
hiprompt-gtk-py-0.8.0-r0.apk
|
8.3 KiB |
|
|
|
hitide-0.15.0-r0.apk
|
1.9 MiB |
|
|
|
hitide-openrc-0.15.0-r0.apk
|
2.1 KiB |
|
|
|
homebank-5.9.1-r0.apk
|
2.0 MiB |
|
|
|
homebank-lang-5.9.1-r0.apk
|
942 KiB |
|
|
|
hopalong-0.1-r3.apk
|
26 KiB |
|
|
|
horizon-0.9.6-r9.apk
|
225 KiB |
|
|
|
horizon-dbg-0.9.6-r9.apk
|
3.9 MiB |
|
|
|
horizon-dev-0.9.6-r9.apk
|
4.9 KiB |
|
|
|
horizon-doc-0.9.6-r9.apk
|
21 KiB |
|
|
|
horizon-image-0.9.6-r9.apk
|
73 KiB |
|
|
|
horizon-tools-0.9.6-r9.apk
|
86 KiB |
|
|
|
horust-0.1.7-r2.apk
|
1.0 MiB |
|
|
|
horust-doc-0.1.7-r2.apk
|
9.2 KiB |
|
|
|
hping3-20051105-r4.apk
|
82 KiB |
|
|
|
hping3-doc-20051105-r4.apk
|
17 KiB |
|
|
|
hpnssh-18.6.2-r0.apk
|
2.4 MiB |
|
|
|
hpnssh-doc-18.6.2-r0.apk
|
99 KiB |
|
|
|
hsetroot-1.0.5-r1.apk
|
11 KiB |
|
|
|
hstdb-2.1.0-r2.apk
|
894 KiB |
|
|
|
htmlcxx-0.87-r1.apk
|
66 KiB |
|
|
|
htmlcxx-dev-0.87-r1.apk
|
21 KiB |
|
|
|
htslib-1.19-r0.apk
|
398 KiB |
|
|
|
htslib-dev-1.19-r0.apk
|
115 KiB |
|
|
|
htslib-doc-1.19-r0.apk
|
23 KiB |
|
|
|
htslib-static-1.19-r0.apk
|
484 KiB |
|
|
|
htslib-tools-1.19-r0.apk
|
1.3 MiB |
|
|
|
httpie-oauth-1.0.2-r9.apk
|
3.4 KiB |
|
|
|
httpie-oauth-pyc-1.0.2-r9.apk
|
2.3 KiB |
|
|
|
httplz-2.2.0-r0.apk
|
1.3 MiB |
|
|
|
httplz-doc-2.2.0-r0.apk
|
2.3 KiB |
|
|
|
httpx-1.7.0-r2.apk
|
13 MiB |
|
|
|
httpx-doc-1.7.0-r2.apk
|
2.3 KiB |
|
|
|
httrack-3.49.2-r5.apk
|
748 KiB |
|
|
|
httrack-doc-3.49.2-r5.apk
|
528 KiB |
|
|
|
hub-2.14.2-r31.apk
|
2.9 MiB |
|
|
|
hub-bash-completion-2.14.2-r31.apk
|
4.6 KiB |
|
|
|
hub-doc-2.14.2-r31.apk
|
42 KiB |
|
|
|
hub-fish-completion-2.14.2-r31.apk
|
3.3 KiB |
|
|
|
hub-zsh-completion-2.14.2-r31.apk
|
3.7 KiB |
|
|
|
hubble-cli-0.13.6-r5.apk
|
19 MiB |
|
|
|
hubble-cli-bash-completion-0.13.6-r5.apk
|
5.1 KiB |
|
|
|
hubble-cli-fish-completion-0.13.6-r5.apk
|
4.3 KiB |
|
|
|
hubble-cli-zsh-completion-0.13.6-r5.apk
|
4.1 KiB |
|
|
|
hunspell-ca-es-3.0.7-r0.apk
|
731 KiB |
|
|
|
hunspell-fr-7.0-r0.apk
|
1.2 MiB |
|
|
|
hunspell-fr-doc-7.0-r0.apk
|
2.9 KiB |
|
|
|
hurl-6.1.1-r0.apk
|
1.8 MiB |
|
|
|
hurl-bash-completion-6.1.1-r0.apk
|
2.3 KiB |
|
|
|
hurl-doc-6.1.1-r0.apk
|
8.8 KiB |
|
|
|
hurl-fish-completion-6.1.1-r0.apk
|
3.5 KiB |
|
|
|
hurl-zsh-completion-6.1.1-r0.apk
|
4.0 KiB |
|
|
|
hurlfmt-6.1.1-r0.apk
|
858 KiB |
|
|
|
hw-probe-1.6.6-r2.apk
|
124 KiB |
|
|
|
hwatch-0.3.11-r0.apk
|
1007 KiB |
|
|
|
hwatch-doc-0.3.11-r0.apk
|
3.0 KiB |
|
|
|
hwatch-fish-completion-0.3.11-r0.apk
|
1.8 KiB |
|
|
|
hwatch-zsh-completion-0.3.11-r0.apk
|
1.9 KiB |
|
|
|
hx-1.0.15-r0.apk
|
15 KiB |
|
|
|
hx-doc-1.0.15-r0.apk
|
4.8 KiB |
|
|
|
hyfetch-1.99.0-r1.apk
|
433 KiB |
|
|
|
hyfetch-bash-completion-1.99.0-r1.apk
|
3.3 KiB |
|
|
|
hyfetch-doc-1.99.0-r1.apk
|
16 KiB |
|
|
|
hyfetch-pyc-1.99.0-r1.apk
|
180 KiB |
|
|
|
hyfetch-zsh-completion-1.99.0-r1.apk
|
2.5 KiB |
|
|
|
hypnotix-3.5-r0.apk
|
110 KiB |
|
|
|
hypnotix-lang-3.5-r0.apk
|
72 KiB |
|
|
|
hyprland-plugins-0.49.0-r0.apk
|
1.3 KiB |
|
|
|
hyprland-plugins-borders-plus-plus-0.49.0-r0.apk
|
62 KiB |
|
|
|
hyprland-plugins-csgo-vulkan-fix-0.49.0-r0.apk
|
18 KiB |
|
|
|
hyprland-plugins-doc-0.49.0-r0.apk
|
5.7 KiB |
|
|
|
hyprland-plugins-hyprbars-0.49.0-r0.apk
|
101 KiB |
|
|
|
hyprland-plugins-hyprexpo-0.49.0-r0.apk
|
48 KiB |
|
|
|
hyprland-plugins-hyprtrails-0.49.0-r0.apk
|
51 KiB |
|
|
|
hyprland-plugins-hyprwinwrap-0.49.0-r0.apk
|
66 KiB |
|
|
|
hyprland-plugins-xtra-dispatchers-0.49.0-r0.apk
|
18 KiB |
|
|
|
hyprland-qt-support-0.1.0-r0.apk
|
117 KiB |
|
|
|
hyprland-qtutils-0.1.3-r0.apk
|
125 KiB |
|
|
|
hyprpolkitagent-0.1.2-r0.apk
|
57 KiB |
|
|
|
hyprpolkitagent-doc-0.1.2-r0.apk
|
3.0 KiB |
|
|
|
hyprpolkitagent-openrc-0.1.2-r0.apk
|
1.8 KiB |
|
|
|
hyx-2024.02.29-r0.apk
|
18 KiB |
|
|
|
hyx-doc-2024.02.29-r0.apk
|
2.2 KiB |
|
|
|
i2util-4.2.1-r1.apk
|
17 KiB |
|
|
|
i2util-dev-4.2.1-r1.apk
|
47 KiB |
|
|
|
i2util-doc-4.2.1-r1.apk
|
4.7 KiB |
|
|
|
i3bar-river-1.1.0-r0.apk
|
537 KiB |
|
|
|
i3status-rust-0.33.2-r0.apk
|
4.1 MiB |
|
|
|
i3status-rust-doc-0.33.2-r0.apk
|
34 KiB |
|
|
|
icesprog-0_git20240108-r1.apk
|
8.9 KiB |
|
|
|
icesprog-udev-0_git20240108-r1.apk
|
1.9 KiB |
|
|
|
icestorm-0_git20240517-r0.apk
|
16 MiB |
|
|
|
icingaweb2-module-pnp-1.1.0-r1.apk
|
8.9 KiB |
|
|
|
icingaweb2-module-pnp-doc-1.1.0-r1.apk
|
1.5 KiB |
|
|
|
icmake-9.03.01-r0.apk
|
127 KiB |
|
|
|
icmake-doc-9.03.01-r0.apk
|
127 KiB |
|
|
|
identities-0.1.4-r0.apk
|
13 KiB |
|
|
|
identme-0.6.0-r0.apk
|
49 KiB |
|
|
|
idesk-1-r1.apk
|
77 KiB |
|
|
|
ideviceinstaller-1.1.1-r4.apk
|
15 KiB |
|
|
|
ideviceinstaller-doc-1.1.1-r4.apk
|
2.5 KiB |
|
|
|
idevicerestore-1.0.0-r4.apk
|
84 KiB |
|
|
|
idevicerestore-doc-1.0.0-r4.apk
|
2.5 KiB |
|
|
|
ifuse-1.1.4-r5.apk
|
11 KiB |
|
|
|
ifuse-doc-1.1.4-r5.apk
|
2.3 KiB |
|
|
|
igrep-1.2.0-r0.apk
|
1.6 MiB |
|
|
|
igrep-doc-1.2.0-r0.apk
|
4.2 KiB |
|
|
|
ijq-1.1.0-r8.apk
|
1.4 MiB |
|
|
|
ijq-doc-1.1.0-r8.apk
|
3.5 KiB |
|
|
|
imapfilter-2.8.2-r0.apk
|
44 KiB |
|
|
|
imapfilter-doc-2.8.2-r0.apk
|
13 KiB |
|
|
|
imediff-2.6-r1.apk
|
42 KiB |
|
|
|
imediff-doc-2.6-r1.apk
|
6.5 KiB |
|
|
|
imediff-pyc-2.6-r1.apk
|
44 KiB |
|
|
|
imgdiff-1.0.2-r26.apk
|
1.0 MiB |
|
|
|
imgdiff-doc-1.0.2-r26.apk
|
2.3 KiB |
|
|
|
imrsh-0_git20210320-r1.apk
|
9.4 KiB |
|
|
|
imrsh-dbg-0_git20210320-r1.apk
|
18 KiB |
|
|
|
infnoise-0.3.3-r0.apk
|
17 KiB |
|
|
|
infnoise-doc-0.3.3-r0.apk
|
4.1 KiB |
|
|
|
infnoise-openrc-0.3.3-r0.apk
|
1.7 KiB |
|
|
|
initify-0_git20171210-r1.apk
|
3.3 KiB |
|
|
|
innernet-1.6.1-r0.apk
|
2.7 MiB |
|
|
|
innernet-bash-completion-1.6.1-r0.apk
|
3.8 KiB |
|
|
|
innernet-doc-1.6.1-r0.apk
|
9.0 KiB |
|
|
|
innernet-fish-completion-1.6.1-r0.apk
|
4.5 KiB |
|
|
|
innernet-openrc-1.6.1-r0.apk
|
2.3 KiB |
|
|
|
innernet-zsh-completion-1.6.1-r0.apk
|
5.5 KiB |
|
|
|
interception-tools-0.6.8-r2.apk
|
113 KiB |
|
|
|
interception-tools-openrc-0.6.8-r2.apk
|
1.7 KiB |
|
|
|
invidtui-0.4.6-r5.apk
|
4.2 MiB |
|
|
|
ip2location-8.6.1-r0.apk
|
25 KiB |
|
|
|
ip2location-dev-8.6.1-r0.apk
|
12 KiB |
|
|
|
ip2location-doc-8.6.1-r0.apk
|
2.7 KiB |
|
|
|
ipp-usb-0.9.30-r0.apk
|
2.6 MiB |
|
|
|
ipp-usb-doc-0.9.30-r0.apk
|
8.8 KiB |
|
|
|
ipp-usb-openrc-0.9.30-r0.apk
|
1.7 KiB |
|
|
|
iprange-1.0.4-r1.apk
|
21 KiB |
|
|
|
iprange-doc-1.0.4-r1.apk
|
4.5 KiB |
|
|
|
irccd-4.0.3-r0.apk
|
268 KiB |
|
|
|
irccd-dev-4.0.3-r0.apk
|
9.6 KiB |
|
|
|
irccd-doc-4.0.3-r0.apk
|
80 KiB |
|
|
|
irccd-openrc-4.0.3-r0.apk
|
1.8 KiB |
|
|
|
ircd-hybrid-8.2.47-r0.apk
|
340 KiB |
|
|
|
ircd-hybrid-doc-8.2.47-r0.apk
|
3.6 KiB |
|
|
|
ircdog-0.5.4-r5.apk
|
2.5 MiB |
|
|
|
isoinfo-0_git20131217-r1.apk
|
6.8 KiB |
|
|
|
isomd5sum-1.2.3-r3.apk
|
24 KiB |
|
|
|
isomd5sum-doc-1.2.3-r3.apk
|
3.0 KiB |
|
|
|
it87-src-1_p20240609-r0.apk
|
30 KiB |
|
|
|
iwmenu-0.2.0-r0.apk
|
1.6 MiB |
|
|
|
jack_capture-0.9.73_git20210429-r2.apk
|
34 KiB |
|
|
|
jackal-0.64.0-r15.apk
|
12 MiB |
|
|
|
jackal-openrc-0.64.0-r15.apk
|
1.8 KiB |
|
|
|
jackdaw-0.3.1-r2.apk
|
2.0 MiB |
|
|
|
jackdaw-pyc-0.3.1-r2.apk
|
364 KiB |
|
|
|
jackline-0.1.0-r3.apk
|
3.7 MiB |
|
|
|
jacktrip-2.5.1-r0.apk
|
1011 KiB |
|
|
|
jacktrip-doc-2.5.1-r0.apk
|
6.4 KiB |
|
|
|
jalv-1.6.8-r1.apk
|
52 KiB |
|
|
|
jalv-doc-1.6.8-r1.apk
|
3.2 KiB |
|
|
|
jalv-gtk-1.6.8-r1.apk
|
35 KiB |
|
|
|
jami-qt-20230925-r0.apk
|
14 MiB |
|
|
|
jami-qt-doc-20230925-r0.apk
|
2.7 KiB |
|
|
|
jami-qt-lang-20230925-r0.apk
|
2.3 MiB |
|
|
|
jaq-2.2.0-r0.apk
|
658 KiB |
|
|
|
jaq-doc-2.2.0-r0.apk
|
2.2 KiB |
|
|
|
java-gdcm-3.0.24-r0.apk
|
644 KiB |
|
|
|
java-jtharness-6.0_p12-r0.apk
|
4.0 MiB |
|
|
|
java-jtharness-doc-6.0_p12-r0.apk
|
12 KiB |
|
|
|
java-jtharness-examples-6.0_p12-r0.apk
|
219 KiB |
|
|
|
jbigkit-2.1-r2.apk
|
63 KiB |
|
|
|
jbigkit-dev-2.1-r2.apk
|
30 KiB |
|
|
|
jbigkit-doc-2.1-r2.apk
|
7.3 KiB |
|
|
|
jdebp-redo-1.4-r1.apk
|
104 KiB |
|
|
|
jdebp-redo-doc-1.4-r1.apk
|
12 KiB |
|
|
|
jdupes-1.28.0-r0.apk
|
29 KiB |
|
|
|
jdupes-doc-1.28.0-r0.apk
|
9.0 KiB |
|
|
|
jedi-language-server-0.45.1-r0.apk
|
32 KiB |
|
|
|
jedi-language-server-pyc-0.45.1-r0.apk
|
50 KiB |
|
|
|
jfrog-cli-2.45.0-r12.apk
|
9.5 MiB |
|
|
|
jhead-3.08-r0.apk
|
32 KiB |
|
|
|
jhead-doc-3.08-r0.apk
|
7.9 KiB |
|
|
|
jotdown-0.7.0-r0.apk
|
234 KiB |
|
|
|
jrsonnet-cli-0.4.2-r1.apk
|
571 KiB |
|
|
|
jsmn-1.1.0-r2.apk
|
4.7 KiB |
|
|
|
json2tsv-1.2-r0.apk
|
6.9 KiB |
|
|
|
json2tsv-doc-1.2-r0.apk
|
5.2 KiB |
|
|
|
json2tsv-jaq-1.2-r0.apk
|
1.9 KiB |
|
|
|
json2tsv-jaq-doc-1.2-r0.apk
|
2.3 KiB |
|
|
|
jsonnet-bundler-0.6.0-r5.apk
|
3.4 MiB |
|
|
|
jsonnet-language-server-0.15.0-r4.apk
|
4.4 MiB |
|
|
|
junit2html-31.0.2-r0.apk
|
17 KiB |
|
|
|
junit2html-pyc-31.0.2-r0.apk
|
24 KiB |
|
|
|
jwt-cli-6.2.0-r0.apk
|
838 KiB |
|
|
|
k2-0_git20220807-r1.apk
|
98 KiB |
|
|
|
k3sup-0.13.6-r5.apk
|
2.8 MiB |
|
|
|
k3sup-bash-completion-0.13.6-r5.apk
|
5.0 KiB |
|
|
|
k3sup-fish-completion-0.13.6-r5.apk
|
4.3 KiB |
|
|
|
k3sup-zsh-completion-0.13.6-r5.apk
|
4.0 KiB |
|
|
|
kabmat-2.7.0-r0.apk
|
61 KiB |
|
|
|
kabmat-doc-2.7.0-r0.apk
|
3.5 KiB |
|
|
|
kannel-1.5.0-r11.apk
|
6.1 MiB |
|
|
|
kannel-dev-1.5.0-r11.apk
|
888 KiB |
|
|
|
kannel-doc-1.5.0-r11.apk
|
6.2 KiB |
|
|
|
kapow-0.7.1-r13.apk
|
3.7 MiB |
|
|
|
katana-1.1.3-r1.apk
|
13 MiB |
|
|
|
katana-doc-1.1.3-r1.apk
|
2.3 KiB |
|
|
|
katarakt-0.2-r1.apk
|
91 KiB |
|
|
|
kbs2-0.7.2-r3.apk
|
1.0 MiB |
|
|
|
kbs2-bash-completion-0.7.2-r3.apk
|
3.1 KiB |
|
|
|
kbs2-fish-completion-0.7.2-r3.apk
|
3.2 KiB |
|
|
|
kbs2-zsh-completion-0.7.2-r3.apk
|
4.0 KiB |
|
|
|
kcbench-0.9.12-r0.apk
|
37 KiB |
|
|
|
kcbench-doc-0.9.12-r0.apk
|
20 KiB |
|
|
|
kdiskmark-3.2.0-r0.apk
|
182 KiB |
|
|
|
kdiskmark-lang-3.2.0-r0.apk
|
32 KiB |
|
|
|
keepassxc-browser-1.8.9-r0.apk
|
876 KiB |
|
|
|
kerberoast-0.2.0-r2.apk
|
9.3 KiB |
|
|
|
kerberoast-pyc-0.2.0-r2.apk
|
15 KiB |
|
|
|
kew-3.3.3-r0.apk
|
408 KiB |
|
|
|
kew-doc-3.3.3-r0.apk
|
3.5 KiB |
|
|
|
keybase-client-6.2.8-r10.apk
|
19 MiB |
|
|
|
keystone-0.9.2-r6.apk
|
1.4 MiB |
|
|
|
keystone-dev-0.9.2-r6.apk
|
7.3 KiB |
|
|
|
keystone-python-0.9.2-r6.apk
|
1.7 MiB |
|
|
|
keystone-python-pyc-0.9.2-r6.apk
|
9.7 KiB |
|
|
|
kfc-0.1.4-r0.apk
|
58 KiB |
|
|
|
kgraphviewer-2.5.0-r0.apk
|
1.3 MiB |
|
|
|
kgraphviewer-dev-2.5.0-r0.apk
|
6.3 KiB |
|
|
|
kgraphviewer-lang-2.5.0-r0.apk
|
230 KiB |
|
|
|
khinsider-2.0.7-r20.apk
|
3.6 MiB |
|
|
|
khronos-4.0.1-r0.apk
|
56 KiB |
|
|
|
khronos-lang-4.0.1-r0.apk
|
26 KiB |
|
|
|
kimchi-3.0.0-r8.apk
|
529 KiB |
|
|
|
kimchi-lang-3.0.0-r8.apk
|
172 KiB |
|
|
|
kimchi-pyc-3.0.0-r8.apk
|
476 KiB |
|
|
|
kine-0.10.1-r13.apk
|
8.3 MiB |
|
|
|
kine-doc-0.10.1-r13.apk
|
5.2 KiB |
|
|
|
kirc-0.3.3-r0.apk
|
15 KiB |
|
|
|
kirc-doc-0.3.3-r0.apk
|
2.8 KiB |
|
|
|
kismet-0.202307.1-r8.apk
|
12 MiB |
|
|
|
kismet-linux-bluetooth-0.202307.1-r8.apk
|
45 KiB |
|
|
|
kismet-linux-wifi-0.202307.1-r8.apk
|
64 KiB |
|
|
|
kismet-logtools-0.202307.1-r8.apk
|
1.2 MiB |
|
|
|
kismet-nrf-51822-0.202307.1-r8.apk
|
42 KiB |
|
|
|
kismet-nxp-kw41z-0.202307.1-r8.apk
|
43 KiB |
|
|
|
kjv-0_git20221103-r0.apk
|
1.5 MiB |
|
|
|
klevernotes-1.1.0-r0.apk
|
2.6 MiB |
|
|
|
klevernotes-lang-1.1.0-r0.apk
|
142 KiB |
|
|
|
klong-20221212-r0.apk
|
326 KiB |
|
|
|
kmscon-9.0.0-r0.apk
|
800 KiB |
|
|
|
kmscon-doc-9.0.0-r0.apk
|
7.7 KiB |
|
|
|
knative-client-1.18.0-r0.apk
|
25 MiB |
|
|
|
knative-client-bash-completion-1.18.0-r0.apk
|
10 KiB |
|
|
|
knative-client-fish-completion-1.18.0-r0.apk
|
4.3 KiB |
|
|
|
knative-client-zsh-completion-1.18.0-r0.apk
|
4.0 KiB |
|
|
|
knxd-0.14.61-r1.apk
|
408 KiB |
|
|
|
knxd-dev-0.14.61-r1.apk
|
24 KiB |
|
|
|
ko-0.17.1-r5.apk
|
11 MiB |
|
|
|
ko-bash-completion-0.17.1-r5.apk
|
5.0 KiB |
|
|
|
ko-fish-completion-0.17.1-r5.apk
|
4.3 KiB |
|
|
|
ko-zsh-completion-0.17.1-r5.apk
|
4.0 KiB |
|
|
|
kodaskanna-0.2.2-r0.apk
|
55 KiB |
|
|
|
kodaskanna-lang-0.2.2-r0.apk
|
23 KiB |
|
|
|
komikku-1.80.0-r0.apk
|
437 KiB |
|
|
|
komikku-lang-1.80.0-r0.apk
|
269 KiB |
|
|
|
komikku-pyc-1.80.0-r0.apk
|
783 KiB |
|
|
|
kompose-1.31.2-r10.apk
|
7.6 MiB |
|
|
|
kompose-bash-completion-1.31.2-r10.apk
|
5.6 KiB |
|
|
|
kompose-fish-completion-1.31.2-r10.apk
|
4.4 KiB |
|
|
|
kompose-zsh-completion-1.31.2-r10.apk
|
6.8 KiB |
|
|
|
kondo-0.8-r0.apk
|
686 KiB |
|
|
|
kondo-bash-completion-0.8-r0.apk
|
2.1 KiB |
|
|
|
kondo-fish-completion-0.8-r0.apk
|
2.1 KiB |
|
|
|
kondo-zsh-completion-0.8-r0.apk
|
2.5 KiB |
|
|
|
kontainer-1.0.1-r0.apk
|
199 KiB |
|
|
|
krdp-6.3.5-r0.apk
|
203 KiB |
|
|
|
krdp-lang-6.3.5-r0.apk
|
50 KiB |
|
|
|
krita-plugin-gmic-3.2.4.1-r3.apk
|
2.8 MiB |
|
|
|
kronosnet-1.30-r0.apk
|
79 KiB |
|
|
|
kronosnet-dev-1.30-r0.apk
|
2.5 MiB |
|
|
|
kronosnet-doc-1.30-r0.apk
|
106 KiB |
|
|
|
ktx-4.3.2-r1.apk
|
1.3 MiB |
|
|
|
ktx-dev-4.3.2-r1.apk
|
29 KiB |
|
|
|
ktx-libs-4.3.2-r1.apk
|
1.4 MiB |
|
|
|
kube-no-trouble-0.7.3-r5.apk
|
14 MiB |
|
|
|
kubeconform-0.6.6-r7.apk
|
3.6 MiB |
|
|
|
kubectl-krew-0.4.5-r2.apk
|
4.8 MiB |
|
|
|
kubectl-oidc_login-1.32.4-r0.apk
|
5.8 MiB |
|
|
|
kubeone-1.10.0-r0.apk
|
28 MiB |
|
|
|
kubeone-bash-completion-1.10.0-r0.apk
|
6.7 KiB |
|
|
|
kubeone-doc-1.10.0-r0.apk
|
20 KiB |
|
|
|
kubeone-zsh-completion-1.10.0-r0.apk
|
4.0 KiB |
|
|
|
kubepug-1.7.1-r10.apk
|
17 MiB |
|
|
|
kubepug-bash-completion-1.7.1-r10.apk
|
5.1 KiB |
|
|
|
kubepug-fish-completion-1.7.1-r10.apk
|
4.3 KiB |
|
|
|
kubepug-zsh-completion-1.7.1-r10.apk
|
4.1 KiB |
|
|
|
kubeseal-0.30.0-r0.apk
|
12 MiB |
|
|
|
kubeseal-doc-0.30.0-r0.apk
|
5.5 KiB |
|
|
|
kubesplit-0.3.3-r1.apk
|
13 KiB |
|
|
|
kubesplit-pyc-0.3.3-r1.apk
|
13 KiB |
|
|
|
lavacli-2.4-r0.apk
|
49 KiB |
|
|
|
lavacli-doc-2.4-r0.apk
|
34 KiB |
|
|
|
lavacli-pyc-2.4-r0.apk
|
88 KiB |
|
|
|
laze-0.1.31-r0.apk
|
1.1 MiB |
|
|
|
laze-bash-completion-0.1.31-r0.apk
|
3.1 KiB |
|
|
|
laze-doc-0.1.31-r0.apk
|
3.5 KiB |
|
|
|
laze-fish-completion-0.1.31-r0.apk
|
3.4 KiB |
|
|
|
laze-zsh-completion-0.1.31-r0.apk
|
3.6 KiB |
|
|
|
lbb-0.9.1-r3.apk
|
3.3 MiB |
|
|
|
lbb-doc-0.9.1-r3.apk
|
19 KiB |
|
|
|
lcalc-2.1.0-r0.apk
|
227 KiB |
|
|
|
lcalc-dev-2.1.0-r0.apk
|
51 KiB |
|
|
|
lcalc-doc-2.1.0-r0.apk
|
437 KiB |
|
|
|
lcalc-libs-2.1.0-r0.apk
|
232 KiB |
|
|
|
ldapdomaindump-0.9.4-r2.apk
|
18 KiB |
|
|
|
ldapdomaindump-pyc-0.9.4-r2.apk
|
30 KiB |
|
|
|
ledmon-1.0.0-r0.apk
|
81 KiB |
|
|
|
ledmon-doc-1.0.0-r0.apk
|
14 KiB |
|
|
|
lefthook-1.11.14-r0.apk
|
5.5 MiB |
|
|
|
lefthook-doc-1.11.14-r0.apk
|
2.2 KiB |
|
|
|
legume-1.4.2-r9.apk
|
1.5 MiB |
|
|
|
legume-doc-1.4.2-r9.apk
|
12 KiB |
|
|
|
leptosfmt-0.1.33-r0.apk
|
1.0 MiB |
|
|
|
leptosfmt-doc-0.1.33-r0.apk
|
6.0 KiB |
|
|
|
level-zero-1.21.9-r0.apk
|
602 KiB |
|
|
|
level-zero-doc-1.21.9-r0.apk
|
2.3 KiB |
|
|
|
levmar-dev-2.6-r0.apk
|
48 KiB |
|
|
|
lfm-3.1-r4.apk
|
88 KiB |
|
|
|
lfm-doc-3.1-r4.apk
|
2.8 KiB |
|
|
|
lfm-pyc-3.1-r4.apk
|
134 KiB |
|
|
|
lgogdownloader-3.16-r1.apk
|
386 KiB |
|
|
|
lgogdownloader-doc-3.16-r1.apk
|
8.5 KiB |
|
|
|
libabigail-2.3-r0.apk
|
925 KiB |
|
|
|
libabigail-bash-completion-2.3-r0.apk
|
3.0 KiB |
|
|
|
libabigail-dev-2.3-r0.apk
|
1.3 MiB |
|
|
|
libabigail-doc-2.3-r0.apk
|
61 KiB |
|
|
|
libabigail-tools-2.3-r0.apk
|
113 KiB |
|
|
|
libandroidfw-0_git20250325-r1.apk
|
446 KiB |
|
|
|
libandroidfw-dev-0_git20250325-r1.apk
|
7.1 KiB |
|
|
|
libantlr3c-3.4-r3.apk
|
55 KiB |
|
|
|
libantlr3c-dev-3.4-r3.apk
|
58 KiB |
|
|
|
libantlr4-4.13.2-r0.apk
|
496 KiB |
|
|
|
libantlr4-dev-4.13.2-r0.apk
|
950 KiB |
|
|
|
libaudec-0.3.4-r3.apk
|
30 KiB |
|
|
|
libaudec-dev-0.3.4-r3.apk
|
4.3 KiB |
|
|
|
libaudec-static-0.3.4-r3.apk
|
33 KiB |
|
|
|
libaudec-tools-0.3.4-r3.apk
|
30 KiB |
|
|
|
libb64-2.0.0.1-r0.apk
|
4.7 KiB |
|
|
|
libb64-dev-2.0.0.1-r0.apk
|
6.2 KiB |
|
|
|
libb64-doc-2.0.0.1-r0.apk
|
8.0 KiB |
|
|
|
libbamf-0.5.6-r1.apk
|
152 KiB |
|
|
|
libbamf-dev-0.5.6-r1.apk
|
6.4 KiB |
|
|
|
libbamf-doc-0.5.6-r1.apk
|
31 KiB |
|
|
|
libbraiding-1.3.1-r0.apk
|
108 KiB |
|
|
|
libbraiding-dev-1.3.1-r0.apk
|
15 KiB |
|
|
|
libbsoncxx-3.8.0-r0.apk
|
48 KiB |
|
|
|
libbsoncxx-dev-3.8.0-r0.apk
|
39 KiB |
|
|
|
libcli-1.10.7-r0.apk
|
32 KiB |
|
|
|
libcork-0.15.0-r7.apk
|
36 KiB |
|
|
|
libcork-dev-0.15.0-r7.apk
|
30 KiB |
|
|
|
libcork-tools-0.15.0-r7.apk
|
4.6 KiB |
|
|
|
libcorkipset-1.1.1-r4.apk
|
14 KiB |
|
|
|
libcorkipset-dev-1.1.1-r4.apk
|
8.1 KiB |
|
|
|
libcorkipset-tools-1.1.1-r4.apk
|
12 KiB |
|
|
|
libcotp-3.1.0-r0.apk
|
9.0 KiB |
|
|
|
libcotp-dev-3.1.0-r0.apk
|
2.5 KiB |
|
|
|
libcpdf-2.8.1-r0.apk
|
2.2 MiB |
|
|
|
libcpdf-dev-2.8.1-r0.apk
|
17 KiB |
|
|
|
libcpdf-static-2.8.1-r0.apk
|
3.2 MiB |
|
|
|
libctl-4.5.1-r1.apk
|
93 KiB |
|
|
|
libctl-dev-4.5.1-r1.apk
|
39 KiB |
|
|
|
libctl-doc-4.5.1-r1.apk
|
3.0 KiB |
|
|
|
libcyaml-1.4.2-r0.apk
|
23 KiB |
|
|
|
libcyaml-dev-1.4.2-r0.apk
|
13 KiB |
|
|
|
libcyaml-doc-1.4.2-r0.apk
|
8.6 KiB |
|
|
|
libcyaml-static-1.4.2-r0.apk
|
27 KiB |
|
|
|
libdbusaccess-1.0.20-r0.apk
|
19 KiB |
|
|
|
libdbusaccess-dev-1.0.20-r0.apk
|
5.0 KiB |
|
|
|
libdcmtk-3.6.9-r0.apk
|
6.7 MiB |
|
|
|
libdng-0.2.1-r0.apk
|
12 KiB |
|
|
|
libdng-dev-0.2.1-r0.apk
|
3.2 KiB |
|
|
|
libdng-doc-0.2.1-r0.apk
|
4.2 KiB |
|
|
|
libdng-utils-0.2.1-r0.apk
|
6.2 KiB |
|
|
|
libeantic-2.1.0-r1.apk
|
87 KiB |
|
|
|
libeantic-dev-2.1.0-r1.apk
|
18 KiB |
|
|
|
libecap-1.0.1-r1.apk
|
14 KiB |
|
|
|
libecap-dev-1.0.1-r1.apk
|
11 KiB |
|
|
|
libecap-static-1.0.1-r1.apk
|
17 KiB |
|
|
|
libemf2svg-1.1.0-r2.apk
|
177 KiB |
|
|
|
libemf2svg-utils-1.1.0-r2.apk
|
19 KiB |
|
|
|
liberasurecode-1.6.3-r1.apk
|
40 KiB |
|
|
|
liberasurecode-dev-1.6.3-r1.apk
|
18 KiB |
|
|
|
libettercap-0.8.3.1-r3.apk
|
200 KiB |
|
|
|
libfishsound-1.0.0-r1.apk
|
10 KiB |
|
|
|
libfishsound-dev-1.0.0-r1.apk
|
57 KiB |
|
|
|
libfishsound-doc-1.0.0-r1.apk
|
75 KiB |
|
|
|
libfoma-0.10.0_git20240712-r0.apk
|
105 KiB |
|
|
|
libfort-0.4.2-r0.apk
|
31 KiB |
|
|
|
libfort-dev-0.4.2-r0.apk
|
17 KiB |
|
|
|
libfyaml-0.9-r0.apk
|
293 KiB |
|
|
|
libfyaml-dev-0.9-r0.apk
|
42 KiB |
|
|
|
libfyaml-doc-0.9-r0.apk
|
7.4 KiB |
|
|
|
libgdcm-3.0.24-r0.apk
|
2.6 MiB |
|
|
|
libgivaro-4.2.0-r2.apk
|
83 KiB |
|
|
|
libgivaro-dev-4.2.0-r2.apk
|
244 KiB |
|
|
|
libgivaro-static-4.2.0-r2.apk
|
97 KiB |
|
|
|
libglib-testing-0.1.1-r0.apk
|
13 KiB |
|
|
|
libglib-testing-dev-0.1.1-r0.apk
|
5.5 KiB |
|
|
|
libglib-testing-doc-0.1.1-r0.apk
|
25 KiB |
|
|
|
libgrapheme-1-r0.apk
|
9.9 KiB |
|
|
|
libgrapheme-dev-1-r0.apk
|
10 KiB |
|
|
|
libgrapheme-doc-1-r0.apk
|
8.0 KiB |
|
|
|
libguestfs-1.52.0-r3.apk
|
319 KiB |
|
|
|
libguestfs-dev-1.52.0-r3.apk
|
29 KiB |
|
|
|
libguestfs-doc-1.52.0-r3.apk
|
559 KiB |
|
|
|
libguestfs-static-1.52.0-r3.apk
|
447 KiB |
|
|
|
libhomfly-1.02_p6-r1.apk
|
16 KiB |
|
|
|
libhomfly-dev-1.02_p6-r1.apk
|
20 KiB |
|
|
|
libhwpwm-0.4.4-r0.apk
|
6.1 KiB |
|
|
|
libhwpwm-dev-0.4.4-r0.apk
|
5.9 KiB |
|
|
|
libhwpwm-doc-0.4.4-r0.apk
|
13 KiB |
|
|
|
libibmad-1.3.13-r2.apk
|
30 KiB |
|
|
|
libibmad-dev-1.3.13-r2.apk
|
13 KiB |
|
|
|
libibumad-1.3.10.2-r3.apk
|
15 KiB |
|
|
|
libibumad-dev-1.3.10.2-r3.apk
|
7.6 KiB |
|
|
|
libibumad-doc-1.3.10.2-r3.apk
|
23 KiB |
|
|
|
libideviceactivation-1.1.1-r5.apk
|
18 KiB |
|
|
|
libideviceactivation-dev-1.1.1-r5.apk
|
3.3 KiB |
|
|
|
libideviceactivation-doc-1.1.1-r5.apk
|
2.2 KiB |
|
|
|
libigraph-0.10.16-r0.apk
|
1.3 MiB |
|
|
|
libigraph-dev-0.10.16-r0.apk
|
91 KiB |
|
|
|
libiio-0.25-r2.apk
|
53 KiB |
|
|
|
libiio-dev-0.25-r2.apk
|
13 KiB |
|
|
|
libiio-doc-0.25-r2.apk
|
18 KiB |
|
|
|
libiio-pyc-0.25-r2.apk
|
21 KiB |
|
|
|
libiio-tools-0.25-r2.apk
|
74 KiB |
|
|
|
libiml-1.0.5-r3.apk
|
81 KiB |
|
|
|
libiml-dev-1.0.5-r3.apk
|
3.9 KiB |
|
|
|
libiml-static-1.0.5-r3.apk
|
82 KiB |
|
|
|
libinfnoise-0.3.3-r0.apk
|
15 KiB |
|
|
|
libirecovery-1.2.1-r0.apk
|
23 KiB |
|
|
|
libirecovery-dev-1.2.1-r0.apk
|
4.1 KiB |
|
|
|
libirecovery-progs-1.2.1-r0.apk
|
8.4 KiB |
|
|
|
libiscsi-1.19.0-r2.apk
|
60 KiB |
|
|
|
libiscsi-dev-1.19.0-r2.apk
|
20 KiB |
|
|
|
libiscsi-doc-1.19.0-r2.apk
|
9.3 KiB |
|
|
|
libiscsi-static-1.19.0-r2.apk
|
71 KiB |
|
|
|
libiscsi-utils-1.19.0-r2.apk
|
88 KiB |
|
|
|
libjodycode-3.1.1-r0.apk
|
7.8 KiB |
|
|
|
libjodycode-dev-3.1.1-r0.apk
|
4.2 KiB |
|
|
|
libjodycode-doc-3.1.1-r0.apk
|
3.7 KiB |
|
|
|
liblastfm-qt-1.1.10_git20190823-r3.apk
|
176 KiB |
|
|
|
liblastfm-qt-dev-1.1.10_git20190823-r3.apk
|
20 KiB |
|
|
|
libm4ri-20240729-r2.apk
|
157 KiB |
|
|
|
libm4ri-dev-20240729-r2.apk
|
32 KiB |
|
|
|
libm4ri-static-20240729-r2.apk
|
176 KiB |
|
|
|
libm4rie-20200125-r5.apk
|
186 KiB |
|
|
|
libm4rie-dev-20200125-r5.apk
|
24 KiB |
|
|
|
libm4rie-static-20200125-r5.apk
|
249 KiB |
|
|
|
libmdbx-0.11.8-r0.apk
|
899 KiB |
|
|
|
libmdbx-dbg-0.11.8-r0.apk
|
2.6 MiB |
|
|
|
libmdbx-dev-0.11.8-r0.apk
|
93 KiB |
|
|
|
libmdbx-doc-0.11.8-r0.apk
|
8.9 KiB |
|
|
|
libmdf-1.0.29-r0.apk
|
38 KiB |
|
|
|
libmdf-dev-1.0.29-r0.apk
|
14 KiB |
|
|
|
libmhash-0.9.9.9-r3.apk
|
98 KiB |
|
|
|
libmhash-dev-0.9.9.9-r3.apk
|
110 KiB |
|
|
|
libmhash-doc-0.9.9.9-r3.apk
|
8.2 KiB |
|
|
|
libmpfi-1.5.4-r2.apk
|
40 KiB |
|
|
|
libmpfi-dev-1.5.4-r2.apk
|
5.4 KiB |
|
|
|
libmpfi-doc-1.5.4-r2.apk
|
19 KiB |
|
|
|
libmpfi-static-1.5.4-r2.apk
|
56 KiB |
|
|
|
libmrss-0.19.2-r1.apk
|
22 KiB |
|
|
|
libmrss-dev-0.19.2-r1.apk
|
33 KiB |
|
|
|
libmustache-0.5.0-r1.apk
|
89 KiB |
|
|
|
libmygpo-qt-1.1.0-r2.apk
|
92 KiB |
|
|
|
libmygpo-qt-dev-1.1.0-r2.apk
|
12 KiB |
|
|
|
libmysofa-1.3.2-r0.apk
|
28 KiB |
|
|
|
libmysofa-dev-1.3.2-r0.apk
|
7.0 KiB |
|
|
|
libmysofa-tools-1.3.2-r0.apk
|
1.1 MiB |
|
|
|
libnest2d-0.4-r7.apk
|
1.2 KiB |
|
|
|
libnest2d-dev-0.4-r7.apk
|
70 KiB |
|
|
|
libnfc-1.8.0-r1.apk
|
55 KiB |
|
|
|
libnfc-dev-1.8.0-r1.apk
|
7.9 KiB |
|
|
|
libnfc-doc-1.8.0-r1.apk
|
22 KiB |
|
|
|
libnfc-tools-1.8.0-r1.apk
|
58 KiB |
|
|
|
libnfcdef-1.0.1-r0.apk
|
14 KiB |
|
|
|
libnfcdef-dev-1.0.1-r0.apk
|
5.7 KiB |
|
|
|
libnih-1.0.3-r7.apk
|
108 KiB |
|
|
|
libnih-dev-1.0.3-r7.apk
|
110 KiB |
|
|
|
libnih-doc-1.0.3-r7.apk
|
2.7 KiB |
|
|
|
libntl-11.5.1-r4.apk
|
1.2 MiB |
|
|
|
libntl-dev-11.5.1-r4.apk
|
159 KiB |
|
|
|
libntl-doc-11.5.1-r4.apk
|
374 KiB |
|
|
|
libntl-static-11.5.1-r4.apk
|
1.7 MiB |
|
|
|
libnxml-0.18.3-r0.apk
|
22 KiB |
|
|
|
libnxml-dev-0.18.3-r0.apk
|
30 KiB |
|
|
|
libofx-0.10.9-r1.apk
|
68 KiB |
|
|
|
libofx-dev-0.10.9-r1.apk
|
20 KiB |
|
|
|
libofx-tools-0.10.9-r1.apk
|
106 KiB |
|
|
|
liboggz-1.1.1-r2.apk
|
101 KiB |
|
|
|
liboggz-dev-1.1.1-r2.apk
|
162 KiB |
|
|
|
liboggz-doc-1.1.1-r2.apk
|
134 KiB |
|
|
|
libopensles-standalone-0_git20240221-r0.apk
|
36 KiB |
|
|
|
libopensles-standalone-dbg-0_git20240221-r0.apk
|
225 KiB |
|
|
|
libopensles-standalone-dev-0_git20240221-r0.apk
|
1.6 KiB |
|
|
|
libqd-2.3.24-r0.apk
|
177 KiB |
|
|
|
libqd-dev-2.3.24-r0.apk
|
58 KiB |
|
|
|
libqd-doc-2.3.24-r0.apk
|
182 KiB |
|
|
|
libqd-static-2.3.24-r0.apk
|
251 KiB |
|
|
|
libqofono-0.124-r0.apk
|
1.2 KiB |
|
|
|
libqofono-dev-0.124-r0.apk
|
42 KiB |
|
|
|
libqofono-qt5-0.124-r0.apk
|
302 KiB |
|
|
|
libqofono-qt6-0.124-r0.apk
|
446 KiB |
|
|
|
libqtdbusmock-0.9.1-r2.apk
|
74 KiB |
|
|
|
libqtdbustest-0.3.3-r1.apk
|
34 KiB |
|
|
|
libraqm-0.10.2-r0.apk
|
11 KiB |
|
|
|
libraqm-dev-0.10.2-r0.apk
|
4.5 KiB |
|
|
|
libraqm-doc-0.10.2-r0.apk
|
21 KiB |
|
|
|
libre-3.23.0-r0.apk
|
298 KiB |
|
|
|
libre-dev-3.23.0-r0.apk
|
468 KiB |
|
|
|
libreoffice-voikko-5.0_git20200127-r0.apk
|
45 KiB |
|
|
|
librespot-0.6.0-r0.apk
|
2.8 MiB |
|
|
|
librespot-openrc-0.6.0-r0.apk
|
1.8 KiB |
|
|
|
libresprite-1.2-r0.apk
|
15 MiB |
|
|
|
libresprite-doc-1.2-r0.apk
|
15 KiB |
|
|
|
libretro-atari800-0_git20240924-r0.apk
|
286 KiB |
|
|
|
libretro-beetle-pce-fast-0_git20220205-r0.apk
|
363 KiB |
|
|
|
libretro-beetle-pcfx-0_git20220409-r0.apk
|
326 KiB |
|
|
|
libretro-beetle-saturn-0_git20220417-r0.apk
|
1.6 MiB |
|
|
|
libretro-beetle-supergrafx-0_git20220218-r0.apk
|
376 KiB |
|
|
|
libretro-bluemsx-0_git20240808-r0.apk
|
685 KiB |
|
|
|
libretro-cannonball-0_git20220309-r6.apk
|
248 KiB |
|
|
|
libretro-cap32-0_git20220419-r0.apk
|
303 KiB |
|
|
|
libretro-crocods-0_git20210314-r1.apk
|
281 KiB |
|
|
|
libretro-daphne-0_git20210108-r2.apk
|
617 KiB |
|
|
|
libretro-dinothawr-0_git20220401-r0.apk
|
145 KiB |
|
|
|
libretro-fbneo-0_git20220416-r0.apk
|
10 MiB |
|
|
|
libretro-freeintv-0_git20220319-r0.apk
|
37 KiB |
|
|
|
libretro-frodo-0_git20221221-r0.apk
|
172 KiB |
|
|
|
libretro-fuse-0_git20220417-r0.apk
|
903 KiB |
|
|
|
libretro-genesis-plus-gx-0_git20230503-r0.apk
|
717 KiB |
|
|
|
libretro-gme-0_git20240628-r0.apk
|
198 KiB |
|
|
|
libretro-gong-0_git20220319-r0.apk
|
8.0 KiB |
|
|
|
libretro-gw-0_git20220410-r0.apk
|
193 KiB |
|
|
|
libretro-mame2000-0_git20240701-r0.apk
|
2.8 MiB |
|
|
|
libretro-mame2003-0_git20240904-r0.apk
|
6.8 MiB |
|
|
|
libretro-mu-0_git20220317-r0.apk
|
189 KiB |
|
|
|
libretro-neocd-0_git20220325-r1.apk
|
520 KiB |
|
|
|
libretro-nxengine-0_git20220301-r0.apk
|
308 KiB |
|
|
|
libretro-openlara-0_git20210121-r0.apk
|
501 KiB |
|
|
|
libretro-opera-0_git20211214-r0.apk
|
191 KiB |
|
|
|
libretro-parallel-n64-0_git20220406-r0.apk
|
827 KiB |
|
|
|
libretro-pcsx-rearmed-0_git20220409-r0.apk
|
546 KiB |
|
|
|
libretro-picodrive-0_git20220405-r0.apk
|
500 KiB |
|
|
|
libretro-pocketcdg-0_git20220327-r0.apk
|
89 KiB |
|
|
|
libretro-ppsspp-0_git20210516-r15.apk
|
2.3 MiB |
|
|
|
libretro-scummvm-0_git20210325-r0.apk
|
21 MiB |
|
|
|
libretro-snes9x-0_git20240819-r0.apk
|
613 KiB |
|
|
|
libretro-theodore-3.1-r0.apk
|
869 KiB |
|
|
|
libretro-tyrquake-0_git20220409-r0.apk
|
409 KiB |
|
|
|
libretro-xrick-0_git20220331-r0.apk
|
124 KiB |
|
|
|
libretro-yabause-0_git20210411-r0.apk
|
506 KiB |
|
|
|
libsbsms-2.3.0-r0.apk
|
106 KiB |
|
|
|
libsbsms-dev-2.3.0-r0.apk
|
123 KiB |
|
|
|
libsds-2.0.0-r1.apk
|
10 KiB |
|
|
|
libsds-dev-2.0.0-r1.apk
|
3.8 KiB |
|
|
|
libsemanage-3.6-r1.apk
|
96 KiB |
|
|
|
libsemanage-dev-3.6-r1.apk
|
141 KiB |
|
|
|
libsemanage-doc-3.6-r1.apk
|
23 KiB |
|
|
|
libsemigroups-2.7.3-r1.apk
|
757 KiB |
|
|
|
libsemigroups-dev-2.7.3-r1.apk
|
334 KiB |
|
|
|
libsemigroups-static-2.7.3-r1.apk
|
1.6 MiB |
|
|
|
libserialport-0.1.1-r1.apk
|
22 KiB |
|
|
|
libserialport-dev-0.1.1-r1.apk
|
37 KiB |
|
|
|
libsigrok-0.5.2-r3.apk
|
506 KiB |
|
|
|
libsigrok-dev-0.5.2-r3.apk
|
31 KiB |
|
|
|
libsigrokdecode-0.5.3-r4.apk
|
337 KiB |
|
|
|
libsigrokdecode-dev-0.5.3-r4.apk
|
38 KiB |
|
|
|
libsimpleble-0.8.1-r0.apk
|
191 KiB |
|
|
|
libsimpleble-c-0.8.1-r0.apk
|
16 KiB |
|
|
|
libsimplebluez-0.8.1-r0.apk
|
138 KiB |
|
|
|
libsirocco-2.1.0-r2.apk
|
70 KiB |
|
|
|
libsirocco-dev-2.1.0-r2.apk
|
1.9 KiB |
|
|
|
libspatialindex-2.1.0-r0.apk
|
339 KiB |
|
|
|
libspatialindex-dev-2.1.0-r0.apk
|
21 KiB |
|
|
|
libstirshaken-0_git20240208-r4.apk
|
53 KiB |
|
|
|
libstirshaken-dev-0_git20240208-r4.apk
|
77 KiB |
|
|
|
libstirshaken-tools-0_git20240208-r4.apk
|
159 KiB |
|
|
|
libsymmetrica-3.0.1-r2.apk
|
4.4 MiB |
|
|
|
libsymmetrica-dev-3.0.1-r2.apk
|
32 KiB |
|
|
|
libsymmetrica-static-3.0.1-r2.apk
|
5.9 MiB |
|
|
|
libtins-4.5-r1.apk
|
357 KiB |
|
|
|
libtins-dev-4.5-r1.apk
|
141 KiB |
|
|
|
libtins-doc-4.5-r1.apk
|
2.3 KiB |
|
|
|
libtommath-1.2.1-r1.apk
|
43 KiB |
|
|
|
libtommath-dev-1.2.1-r1.apk
|
69 KiB |
|
|
|
libtsm-4.0.2-r1.apk
|
26 KiB |
|
|
|
libtsm-dev-4.0.2-r1.apk
|
9.5 KiB |
|
|
|
libucl-0.9.0-r0.apk
|
56 KiB |
|
|
|
libucl-dev-0.9.0-r0.apk
|
82 KiB |
|
|
|
libucl-doc-0.9.0-r0.apk
|
8.9 KiB |
|
|
|
libuecc-7-r4.apk
|
9.9 KiB |
|
|
|
libuecc-dev-7-r4.apk
|
4.6 KiB |
|
|
|
libuninameslist-20230916-r0.apk
|
368 KiB |
|
|
|
libuninameslist-dev-20230916-r0.apk
|
3.5 KiB |
|
|
|
libuninameslist-doc-20230916-r0.apk
|
2.0 KiB |
|
|
|
libupstart-2.0.3-r5.apk
|
54 KiB |
|
|
|
libvdpau-va-gl-0.4.2-r0.apk
|
62 KiB |
|
|
|
libvisio2svg-0.5.5-r3.apk
|
15 KiB |
|
|
|
libvisio2svg-dev-0.5.5-r3.apk
|
2.9 KiB |
|
|
|
libvisio2svg-utils-0.5.5-r3.apk
|
122 KiB |
|
|
|
libvmaf-3.0.0-r0.apk
|
371 KiB |
|
|
|
libvmaf-dev-3.0.0-r0.apk
|
219 KiB |
|
|
|
libvoikko-4.3.2-r1.apk
|
129 KiB |
|
|
|
libvoikko-dev-4.3.2-r1.apk
|
9.9 KiB |
|
|
|
libvoikko-doc-4.3.2-r1.apk
|
5.7 KiB |
|
|
|
libwbxml-0.11.8-r0.apk
|
73 KiB |
|
|
|
libwbxml-dev-0.11.8-r0.apk
|
9.0 KiB |
|
|
|
libwbxml-doc-0.11.8-r0.apk
|
28 KiB |
|
|
|
libwhich-1.2.0-r0.apk
|
4.5 KiB |
|
|
|
libwmiclient-1.3.16-r5.apk
|
1.5 KiB |
|
|
|
libwmiclient-dev-1.3.16-r5.apk
|
1.7 KiB |
|
|
|
libxml++-5.4.0-r0.apk
|
70 KiB |
|
|
|
libxml++-dev-5.4.0-r0.apk
|
29 KiB |
|
|
|
libxo-1.7.5-r0.apk
|
179 KiB |
|
|
|
libxo-dev-1.7.5-r0.apk
|
76 KiB |
|
|
|
libxo-doc-1.7.5-r0.apk
|
63 KiB |
|
|
|
libzn_poly-0.9.2-r2.apk
|
51 KiB |
|
|
|
libzn_poly-dev-0.9.2-r2.apk
|
8.2 KiB |
|
|
|
libzn_poly-static-0.9.2-r2.apk
|
53 KiB |
|
|
|
libzrtpcpp-4.7.0-r0.apk
|
167 KiB |
|
|
|
libzrtpcpp-dev-4.7.0-r0.apk
|
38 KiB |
|
|
|
libzvbi-0.2.44-r0.apk
|
217 KiB |
|
|
|
libzvbi-dev-0.2.44-r0.apk
|
14 KiB |
|
|
|
libzvbi-static-0.2.44-r0.apk
|
274 KiB |
|
|
|
licenseheaders-0.8.8-r4.apk
|
18 KiB |
|
|
|
licenseheaders-pyc-0.8.8-r4.apk
|
18 KiB |
|
|
|
limnoria-20240828-r0.apk
|
1.1 MiB |
|
|
|
limnoria-doc-20240828-r0.apk
|
8.4 KiB |
|
|
|
limnoria-pyc-20240828-r0.apk
|
1.2 MiB |
|
|
|
linkchecker-10.5.0-r0.apk
|
181 KiB |
|
|
|
linkchecker-doc-10.5.0-r0.apk
|
39 KiB |
|
|
|
linkchecker-pyc-10.5.0-r0.apk
|
254 KiB |
|
|
|
linkquisition-1.6.1-r5.apk
|
13 MiB |
|
|
|
linphone-5.3.38-r0.apk
|
9.0 MiB |
|
|
|
linphone-dev-5.3.38-r0.apk
|
250 KiB |
|
|
|
linphone-libs-5.3.38-r0.apk
|
3.2 MiB |
|
|
|
linux-apfs-rw-src-0.3.8-r0.apk
|
197 KiB |
|
|
|
linux-timemachine-1.3.2-r0.apk
|
5.1 KiB |
|
|
|
linuxptp-4.4-r0.apk
|
1.2 KiB |
|
|
|
linuxptp-doc-4.4-r0.apk
|
38 KiB |
|
|
|
linuxptp-hwstamp_ctl-4.4-r0.apk
|
4.5 KiB |
|
|
|
linuxptp-nsm-4.4-r0.apk
|
32 KiB |
|
|
|
linuxptp-phc2sys-4.4-r0.apk
|
39 KiB |
|
|
|
linuxptp-phc_ctl-4.4-r0.apk
|
11 KiB |
|
|
|
linuxptp-pmc-4.4-r0.apk
|
35 KiB |
|
|
|
linuxptp-ptp4l-4.4-r0.apk
|
82 KiB |
|
|
|
linuxptp-timemaster-4.4-r0.apk
|
16 KiB |
|
|
|
linuxptp-ts2phc-4.4-r0.apk
|
38 KiB |
|
|
|
linuxptp-tz2alt-4.4-r0.apk
|
20 KiB |
|
|
|
liquibase-4.9.1-r0.apk
|
32 MiB |
|
|
|
liquibase-doc-4.9.1-r0.apk
|
57 KiB |
|
|
|
litehtml-0.9-r2.apk
|
338 KiB |
|
|
|
litehtml-dev-0.9-r2.apk
|
42 KiB |
|
|
|
litehtml-static-0.9-r2.apk
|
511 KiB |
|
|
|
litterbox-1.9-r1.apk
|
36 KiB |
|
|
|
litterbox-doc-1.9-r1.apk
|
7.2 KiB |
|
|
|
lizardfs-3.13.0-r17.apk
|
116 KiB |
|
|
|
lizardfs-bash-completion-3.13.0-r17.apk
|
1.9 KiB |
|
|
|
lizardfs-cgi-3.13.0-r17.apk
|
32 KiB |
|
|
|
lizardfs-cgiserv-3.13.0-r17.apk
|
7.4 KiB |
|
|
|
lizardfs-cgiserv-openrc-3.13.0-r17.apk
|
2.0 KiB |
|
|
|
lizardfs-chunkserver-3.13.0-r17.apk
|
323 KiB |
|
|
|
lizardfs-chunkserver-openrc-3.13.0-r17.apk
|
1.7 KiB |
|
|
|
lizardfs-client-3.13.0-r17.apk
|
1.1 MiB |
|
|
|
lizardfs-doc-3.13.0-r17.apk
|
11 KiB |
|
|
|
lizardfs-master-3.13.0-r17.apk
|
853 KiB |
|
|
|
lizardfs-master-openrc-3.13.0-r17.apk
|
1.6 KiB |
|
|
|
lizardfs-metalogger-3.13.0-r17.apk
|
136 KiB |
|
|
|
lizardfs-metalogger-openrc-3.13.0-r17.apk
|
1.6 KiB |
|
|
|
lkrg-0.9.6-r1.apk
|
105 KiB |
|
|
|
lkrg-doc-0.9.6-r1.apk
|
22 KiB |
|
|
|
llmnrd-0.7-r1.apk
|
17 KiB |
|
|
|
llmnrd-doc-0.7-r1.apk
|
3.0 KiB |
|
|
|
llmnrd-openrc-0.7-r1.apk
|
1.9 KiB |
|
|
|
lockrun-1.1.3-r1.apk
|
5.3 KiB |
|
|
|
log4cpp-1.1.4-r1.apk
|
76 KiB |
|
|
|
log4cpp-dev-1.1.4-r1.apk
|
39 KiB |
|
|
|
log4cxx-1.1.0-r3.apk
|
556 KiB |
|
|
|
log4cxx-dev-1.1.0-r3.apk
|
135 KiB |
|
|
|
logc-0.5.0-r1.apk
|
8.1 KiB |
|
|
|
logc-argp-0.5.0-r1.apk
|
17 KiB |
|
|
|
logc-config-0.5.0-r1.apk
|
5.3 KiB |
|
|
|
logc-czmq-0.1.0-r0.apk
|
4.1 KiB |
|
|
|
logc-dev-0.5.0-r1.apk
|
8.6 KiB |
|
|
|
logc-libevent-0.1.0-r0.apk
|
3.5 KiB |
|
|
|
logc-libs-0.1.0-r0.apk
|
1.5 KiB |
|
|
|
logc-libs-dev-0.1.0-r0.apk
|
5.7 KiB |
|
|
|
logtop-0.7-r0.apk
|
15 KiB |
|
|
|
logtop-doc-0.7-r0.apk
|
2.8 KiB |
|
|
|
logtop-libs-0.7-r0.apk
|
16 KiB |
|
|
|
logwatch-7.10-r1.apk
|
484 KiB |
|
|
|
logwatch-doc-7.10-r1.apk
|
38 KiB |
|
|
|
lol-html-1.1.1-r1.apk
|
444 KiB |
|
|
|
lol-html-dev-1.1.1-r1.apk
|
6.5 KiB |
|
|
|
lolcat-1.4-r0.apk
|
10 KiB |
|
|
|
lomiri-0.5.0-r0.apk
|
4.0 MiB |
|
|
|
lomiri-action-api-1.2.0-r0.apk
|
84 KiB |
|
|
|
lomiri-action-api-dev-1.2.0-r0.apk
|
5.0 KiB |
|
|
|
lomiri-api-0.2.2-r1.apk
|
36 KiB |
|
|
|
lomiri-api-dev-0.2.2-r1.apk
|
32 KiB |
|
|
|
lomiri-app-launch-0.1.12-r1.apk
|
356 KiB |
|
|
|
lomiri-app-launch-dev-0.1.12-r1.apk
|
20 KiB |
|
|
|
lomiri-calculator-app-4.0.2-r1.apk
|
374 KiB |
|
|
|
lomiri-calculator-app-lang-4.0.2-r1.apk
|
34 KiB |
|
|
|
lomiri-clock-app-4.1.1-r0.apk
|
230 KiB |
|
|
|
lomiri-clock-app-lang-4.1.1-r0.apk
|
456 KiB |
|
|
|
lomiri-content-hub-2.1.0-r0.apk
|
300 KiB |
|
|
|
lomiri-content-hub-dev-2.1.0-r0.apk
|
11 KiB |
|
|
|
lomiri-content-hub-doc-2.1.0-r0.apk
|
1.4 MiB |
|
|
|
lomiri-content-hub-lang-2.1.0-r0.apk
|
47 KiB |
|
|
|
lomiri-docviewer-app-3.0.4-r2.apk
|
234 KiB |
|
|
|
lomiri-docviewer-app-doc-3.0.4-r2.apk
|
2.0 KiB |
|
|
|
lomiri-docviewer-app-lang-3.0.4-r2.apk
|
116 KiB |
|
|
|
lomiri-download-manager-0.2.1-r0.apk
|
641 KiB |
|
|
|
lomiri-download-manager-dev-0.2.1-r0.apk
|
17 KiB |
|
|
|
lomiri-download-manager-doc-0.2.1-r0.apk
|
3.4 MiB |
|
|
|
lomiri-download-manager-lang-0.2.1-r0.apk
|
30 KiB |
|
|
|
lomiri-filemanager-app-1.0.4-r1.apk
|
350 KiB |
|
|
|
lomiri-filemanager-app-lang-1.0.4-r1.apk
|
171 KiB |
|
|
|
lomiri-gallery-app-3.0.2-r1.apk
|
3.7 MiB |
|
|
|
lomiri-gallery-app-lang-3.0.2-r1.apk
|
106 KiB |
|
|
|
lomiri-history-service-0.6-r8.apk
|
385 KiB |
|
|
|
lomiri-history-service-dev-0.6-r8.apk
|
11 KiB |
|
|
|
lomiri-indicator-location-25.4.22-r0.apk
|
30 KiB |
|
|
|
lomiri-indicator-location-lang-25.4.22-r0.apk
|
27 KiB |
|
|
|
lomiri-indicator-network-1.1.1-r0.apk
|
659 KiB |
|
|
|
lomiri-indicator-network-dev-1.1.1-r0.apk
|
9.5 KiB |
|
|
|
lomiri-indicator-network-doc-1.1.1-r0.apk
|
2.1 KiB |
|
|
|
lomiri-indicator-network-lang-1.1.1-r0.apk
|
196 KiB |
|
|
|
lomiri-lang-0.5.0-r0.apk
|
269 KiB |
|
|
|
lomiri-libusermetrics-1.3.3-r1.apk
|
180 KiB |
|
|
|
lomiri-libusermetrics-dev-1.3.3-r1.apk
|
7.8 KiB |
|
|
|
lomiri-libusermetrics-doc-1.3.3-r1.apk
|
228 KiB |
|
|
|
lomiri-libusermetrics-lang-1.3.3-r1.apk
|
43 KiB |
|
|
|
lomiri-location-service-3.3.0-r4.apk
|
2.2 MiB |
|
|
|
lomiri-location-service-dev-3.3.0-r4.apk
|
30 KiB |
|
|
|
lomiri-location-service-doc-3.3.0-r4.apk
|
2.9 KiB |
|
|
|
lomiri-location-service-lang-3.3.0-r4.apk
|
26 KiB |
|
|
|
lomiri-notifications-1.3.1-r0.apk
|
102 KiB |
|
|
|
lomiri-schemas-0.1.8-r1.apk
|
10 KiB |
|
|
|
lomiri-settings-components-1.1.2-r0.apk
|
222 KiB |
|
|
|
lomiri-settings-components-lang-1.1.2-r0.apk
|
98 KiB |
|
|
|
lomiri-sounds-22.02-r1.apk
|
18 MiB |
|
|
|
lomiri-system-settings-1.3.2-r0.apk
|
1.1 MiB |
|
|
|
lomiri-system-settings-lang-1.3.2-r0.apk
|
846 KiB |
|
|
|
lomiri-telephony-service-0.6.1-r3.apk
|
1.1 MiB |
|
|
|
lomiri-telephony-service-lang-0.6.1-r3.apk
|
108 KiB |
|
|
|
lomiri-terminal-app-2.0.5-r0.apk
|
66 KiB |
|
|
|
lomiri-terminal-app-doc-2.0.5-r0.apk
|
2.7 KiB |
|
|
|
lomiri-terminal-app-lang-2.0.5-r0.apk
|
91 KiB |
|
|
|
lomiri-thumbnailer-3.0.4-r2.apk
|
242 KiB |
|
|
|
lomiri-thumbnailer-dev-3.0.4-r2.apk
|
5.0 KiB |
|
|
|
lomiri-thumbnailer-doc-3.0.4-r2.apk
|
1.5 KiB |
|
|
|
lomiri-trust-store-2.0.2-r10.apk
|
1.0 MiB |
|
|
|
lomiri-trust-store-dev-2.0.2-r10.apk
|
9.1 KiB |
|
|
|
lomiri-trust-store-lang-2.0.2-r10.apk
|
28 KiB |
|
|
|
lomiri-ui-extras-0.7.0-r0.apk
|
276 KiB |
|
|
|
lomiri-ui-extras-lang-0.7.0-r0.apk
|
52 KiB |
|
|
|
lomiri-ui-toolkit-1.3.5110-r1.apk
|
1.3 MiB |
|
|
|
lomiri-ui-toolkit-dev-1.3.5110-r1.apk
|
168 KiB |
|
|
|
lomiri-ui-toolkit-lang-1.3.5110-r1.apk
|
96 KiB |
|
|
|
lomiri-url-dispatcher-0.1.4-r0.apk
|
38 KiB |
|
|
|
lomiri-url-dispatcher-dev-0.1.4-r0.apk
|
3.3 KiB |
|
|
|
lomiri-url-dispatcher-lang-0.1.4-r0.apk
|
26 KiB |
|
|
|
lomiri-weather-app-6.0.2-r0.apk
|
304 KiB |
|
|
|
lomiri-weather-app-lang-6.0.2-r0.apk
|
214 KiB |
|
|
|
lotide-0.15.0-r0.apk
|
3.4 MiB |
|
|
|
lotide-openrc-0.15.0-r0.apk
|
3.1 KiB |
|
|
|
lout-3.42.2-r0.apk
|
1.4 MiB |
|
|
|
lout-doc-3.42.2-r0.apk
|
452 KiB |
|
|
|
lowjs-1.6.2-r2.apk
|
1.3 MiB |
|
|
|
lowjs-doc-1.6.2-r2.apk
|
3.0 KiB |
|
|
|
lrcalc-2.1-r1.apk
|
11 KiB |
|
|
|
lrcalc-dev-2.1-r1.apk
|
11 KiB |
|
|
|
lrcalc-libs-2.1-r1.apk
|
25 KiB |
|
|
|
lsdvd-0.17-r0.apk
|
13 KiB |
|
|
|
lsdvd-doc-0.17-r0.apk
|
2.5 KiB |
|
|
|
lshell-0.9.18-r11.apk
|
36 KiB |
|
|
|
lshell-doc-0.9.18-r11.apk
|
25 KiB |
|
|
|
lshell-pyc-0.9.18-r11.apk
|
35 KiB |
|
|
|
lsix-1.8.2-r0.apk
|
6.5 KiB |
|
|
|
lsmash-2.14.5-r2.apk
|
292 KiB |
|
|
|
lsmash-dev-2.14.5-r2.apk
|
359 KiB |
|
|
|
lua-editorconfig-0.3.0-r0.apk
|
1.2 KiB |
|
|
|
lua-fn-0.1.0-r0.apk
|
3.4 KiB |
|
|
|
lua-inet-0.2.0-r1.apk
|
9.1 KiB |
|
|
|
lua-lanes-3.16.0-r1.apk
|
1.4 KiB |
|
|
|
lua-lcurses-9.0.0-r0.apk
|
1.2 KiB |
|
|
|
lua-libmodbus-0.6.1-r0.apk
|
1.2 KiB |
|
|
|
lua-libmodbus-doc-0.6.1-r0.apk
|
19 KiB |
|
|
|
lua-linenoise-0.9-r1.apk
|
1.2 KiB |
|
|
|
lua-luastatic-0.0.12-r1.apk
|
1.5 KiB |
|
|
|
lua-lupa-1.0-r0.apk
|
20 KiB |
|
|
|
lua-lut-1.2.1-r0.apk
|
89 KiB |
|
|
|
lua-psl-0.3-r0.apk
|
1.1 KiB |
|
|
|
lua-resty-redis-0.29-r0.apk
|
5.3 KiB |
|
|
|
lua-resty-upload-0.11-r0.apk
|
3.6 KiB |
|
|
|
lua-xml-1.1.3-r2.apk
|
1.4 KiB |
|
|
|
lua5.1-lanes-3.16.0-r1.apk
|
57 KiB |
|
|
|
lua5.1-lcurses-9.0.0-r0.apk
|
30 KiB |
|
|
|
lua5.1-libguestfs-1.52.0-r3.apk
|
89 KiB |
|
|
|
lua5.1-libmodbus-0.6.1-r0.apk
|
12 KiB |
|
|
|
lua5.1-linenoise-0.9-r1.apk
|
18 KiB |
|
|
|
lua5.1-luacov-0.15.0-r0.apk
|
23 KiB |
|
|
|
lua5.1-luacov-html-1.0.0-r1.apk
|
413 KiB |
|
|
|
lua5.1-luastatic-0.0.12-r1.apk
|
86 KiB |
|
|
|
lua5.1-psl-0.3-r0.apk
|
6.4 KiB |
|
|
|
lua5.1-xml-1.1.3-r2.apk
|
24 KiB |
|
|
|
lua5.2-editorconfig-0.3.0-r0.apk
|
4.7 KiB |
|
|
|
lua5.2-lanes-3.16.0-r1.apk
|
57 KiB |
|
|
|
lua5.2-libmodbus-0.6.1-r0.apk
|
11 KiB |
|
|
|
lua5.2-linenoise-0.9-r1.apk
|
18 KiB |
|
|
|
lua5.2-luacov-0.15.0-r0.apk
|
23 KiB |
|
|
|
lua5.2-luacov-html-1.0.0-r1.apk
|
413 KiB |
|
|
|
lua5.2-luastatic-0.0.12-r1.apk
|
9.1 KiB |
|
|
|
lua5.2-psl-0.3-r0.apk
|
6.3 KiB |
|
|
|
lua5.2-xml-1.1.3-r2.apk
|
24 KiB |
|
|
|
lua5.3-editorconfig-0.3.0-r0.apk
|
4.7 KiB |
|
|
|
lua5.3-lanes-3.16.0-r1.apk
|
58 KiB |
|
|
|
lua5.3-linenoise-0.9-r1.apk
|
18 KiB |
|
|
|
lua5.3-luacov-0.15.0-r0.apk
|
23 KiB |
|
|
|
lua5.3-luacov-html-1.0.0-r1.apk
|
413 KiB |
|
|
|
lua5.3-luastatic-0.0.12-r1.apk
|
9.1 KiB |
|
|
|
lua5.3-psl-0.3-r0.apk
|
6.3 KiB |
|
|
|
lua5.4-editorconfig-0.3.0-r0.apk
|
4.7 KiB |
|
|
|
lua5.4-lanes-3.16.0-r1.apk
|
57 KiB |
|
|
|
lua5.4-linenoise-0.9-r1.apk
|
18 KiB |
|
|
|
lua5.4-luacov-0.15.0-r0.apk
|
23 KiB |
|
|
|
lua5.4-luastatic-0.0.12-r1.apk
|
9.2 KiB |
|
|
|
luacov-0.15.0-r0.apk
|
1.5 KiB |
|
|
|
luacov-html-1.0.0-r1.apk
|
1.2 KiB |
|
|
|
luapak-0.1.0_beta5-r0.apk
|
35 KiB |
|
|
|
luksmeta-9-r0.apk
|
14 KiB |
|
|
|
luksmeta-dev-9-r0.apk
|
3.1 KiB |
|
|
|
luksmeta-doc-9-r0.apk
|
5.5 KiB |
|
|
|
lumina-desktop-1.6.2-r0.apk
|
1.2 KiB |
|
|
|
lumina-desktop-archiver-1.6.2-r0.apk
|
182 KiB |
|
|
|
lumina-desktop-core-1.6.2-r0.apk
|
9.1 MiB |
|
|
|
lumina-desktop-coreutils-1.6.2-r0.apk
|
885 KiB |
|
|
|
lumina-desktop-doc-1.6.2-r0.apk
|
12 KiB |
|
|
|
lumina-desktop-fileinfo-1.6.2-r0.apk
|
175 KiB |
|
|
|
lumina-desktop-fm-1.6.2-r0.apk
|
426 KiB |
|
|
|
lumina-desktop-mediaplayer-1.6.2-r0.apk
|
216 KiB |
|
|
|
lumina-desktop-photo-1.6.2-r0.apk
|
138 KiB |
|
|
|
lumina-desktop-screenshot-1.6.2-r0.apk
|
186 KiB |
|
|
|
lumina-desktop-sudo-1.6.2-r0.apk
|
108 KiB |
|
|
|
lumina-desktop-textedit-1.6.2-r0.apk
|
211 KiB |
|
|
|
lumins-0.4.0-r2.apk
|
667 KiB |
|
|
|
lutgen-0.11.2-r0.apk
|
1.6 MiB |
|
|
|
lutgen-bash-completion-0.11.2-r0.apk
|
1.7 KiB |
|
|
|
lutgen-doc-0.11.2-r0.apk
|
4.2 KiB |
|
|
|
lutgen-fish-completion-0.11.2-r0.apk
|
1.7 KiB |
|
|
|
lutgen-zsh-completion-0.11.2-r0.apk
|
1.6 KiB |
|
|
|
lutris-0.5.19-r0.apk
|
819 KiB |
|
|
|
lutris-doc-0.5.19-r0.apk
|
2.3 KiB |
|
|
|
lutris-lang-0.5.19-r0.apk
|
810 KiB |
|
|
|
lutris-pyc-0.5.19-r0.apk
|
1.1 MiB |
|
|
|
lxappearance-0.6.3-r3.apk
|
30 KiB |
|
|
|
lxappearance-dev-0.6.3-r3.apk
|
3.2 KiB |
|
|
|
lxappearance-doc-0.6.3-r3.apk
|
2.6 KiB |
|
|
|
lxappearance-lang-0.6.3-r3.apk
|
80 KiB |
|
|
|
lxd-5.0.3-r11.apk
|
16 MiB |
|
|
|
lxd-bash-completion-5.0.3-r11.apk
|
5.1 KiB |
|
|
|
lxd-client-5.0.3-r11.apk
|
6.6 MiB |
|
|
|
lxd-feature-5.20-r11.apk
|
72 MiB |
|
|
|
lxd-feature-bash-completion-5.20-r11.apk
|
5.1 KiB |
|
|
|
lxd-feature-doc-5.20-r11.apk
|
1.7 KiB |
|
|
|
lxd-feature-openrc-5.20-r11.apk
|
2.4 KiB |
|
|
|
lxd-feature-scripts-5.20-r11.apk
|
2.1 KiB |
|
|
|
lxd-openrc-5.0.3-r11.apk
|
2.5 KiB |
|
|
|
lxd-scripts-5.0.3-r11.apk
|
26 MiB |
|
|
|
lxd-vm-5.0.3-r11.apk
|
1.3 KiB |
|
|
|
lxqt-wayland-session-0.2.0-r0.apk
|
336 KiB |
|
|
|
lxqt-wayland-session-doc-0.2.0-r0.apk
|
29 KiB |
|
|
|
lychee-0.19.1-r0.apk
|
2.9 MiB |
|
|
|
lychee-doc-0.19.1-r0.apk
|
13 KiB |
|
|
|
lynis-3.1.1-r0.apk
|
271 KiB |
|
|
|
lynis-bash-completion-3.1.1-r0.apk
|
3.0 KiB |
|
|
|
lynis-doc-3.1.1-r0.apk
|
49 KiB |
|
|
|
lyrics-in-terminal-1.7.0-r0.apk
|
38 KiB |
|
|
|
lzfse-1.0-r0.apk
|
20 KiB |
|
|
|
lzfse-dev-1.0-r0.apk
|
3.4 KiB |
|
|
|
m2r2-0.3.3-r3.apk
|
12 KiB |
|
|
|
m2r2-pyc-0.3.3-r3.apk
|
16 KiB |
|
|
|
ma1sd-2.5.0-r3.apk
|
38 MiB |
|
|
|
ma1sd-openrc-2.5.0-r3.apk
|
2.0 KiB |
|
|
|
maddy-0.8.1-r3.apk
|
10 MiB |
|
|
|
maddy-doc-0.8.1-r3.apk
|
2.4 KiB |
|
|
|
maddy-openrc-0.8.1-r3.apk
|
2.0 KiB |
|
|
|
maddy-vim-0.8.1-r3.apk
|
3.6 KiB |
|
|
|
mage-1.13.0-r23.apk
|
1.6 MiB |
|
|
|
maildir2rss-0.0.7-r5.apk
|
3.7 MiB |
|
|
|
mailsec-check-0_git20210729-r26.apk
|
2.7 MiB |
|
|
|
makeclapman-2.4.4-r5.apk
|
1.3 MiB |
|
|
|
makeclapman-doc-2.4.4-r5.apk
|
4.2 KiB |
|
|
|
makedumpfile-1.7.7-r0.apk
|
194 KiB |
|
|
|
makedumpfile-doc-1.7.7-r0.apk
|
24 KiB |
|
|
|
makedumpfile-openrc-1.7.7-r0.apk
|
2.9 KiB |
|
|
|
makeself-2.5.0-r0.apk
|
13 KiB |
|
|
|
malcontent-0.12.0-r0.apk
|
152 KiB |
|
|
|
malcontent-dev-0.12.0-r0.apk
|
24 KiB |
|
|
|
malcontent-doc-0.12.0-r0.apk
|
45 KiB |
|
|
|
mame-0.251-r0.apk
|
94 MiB |
|
|
|
mame-arcade-0.251-r0.apk
|
65 MiB |
|
|
|
mame-common-0.251-r0.apk
|
2.7 KiB |
|
|
|
mame-data-0.251-r0.apk
|
19 MiB |
|
|
|
mame-doc-0.251-r0.apk
|
24 KiB |
|
|
|
mame-lang-0.251-r0.apk
|
1.4 MiB |
|
|
|
mame-mess-0.251-r0.apk
|
52 MiB |
|
|
|
mame-plugins-0.251-r0.apk
|
166 KiB |
|
|
|
mame-tools-0.251-r0.apk
|
2.7 MiB |
|
|
|
mangal-4.0.6-r18.apk
|
11 MiB |
|
|
|
mangal-bash-completion-4.0.6-r18.apk
|
5.0 KiB |
|
|
|
mangal-fish-completion-4.0.6-r18.apk
|
3.9 KiB |
|
|
|
mangal-zsh-completion-4.0.6-r18.apk
|
4.0 KiB |
|
|
|
mangr0ve-0.1.2-r0.apk
|
2.8 KiB |
|
|
|
mangr0ve-doc-0.1.2-r0.apk
|
14 KiB |
|
|
|
manifest-tool-2.2.0-r1.apk
|
4.1 MiB |
|
|
|
mapnik-4.0.6-r1.apk
|
12 MiB |
|
|
|
mapnik-dev-4.0.6-r1.apk
|
486 KiB |
|
|
|
mapnik-doc-4.0.6-r1.apk
|
142 KiB |
|
|
|
mapserver-8.4.0-r2.apk
|
1.4 MiB |
|
|
|
mapserver-dev-8.4.0-r2.apk
|
540 KiB |
|
|
|
marxan-4.0.7-r1.apk
|
602 KiB |
|
|
|
masky-0.2.0-r2.apk
|
277 KiB |
|
|
|
masky-pyc-0.2.0-r2.apk
|
64 KiB |
|
|
|
mat2-0.13.4-r3.apk
|
35 KiB |
|
|
|
mat2-doc-0.13.4-r3.apk
|
7.7 KiB |
|
|
|
mat2-pyc-0.13.4-r3.apk
|
54 KiB |
|
|
|
materia-20210322-r1.apk
|
1.7 KiB |
|
|
|
materia-chromium-20210322-r1.apk
|
5.7 KiB |
|
|
|
materia-compact-20210322-r1.apk
|
1.7 KiB |
|
|
|
materia-compact-chromium-20210322-r1.apk
|
5.7 KiB |
|
|
|
materia-compact-gnome-shell-20210322-r1.apk
|
32 KiB |
|
|
|
materia-compact-gtk2-20210322-r1.apk
|
38 KiB |
|
|
|
materia-compact-gtk3-20210322-r1.apk
|
65 KiB |
|
|
|
materia-dark-20210322-r1.apk
|
1.7 KiB |
|
|
|
materia-dark-chromium-20210322-r1.apk
|
5.7 KiB |
|
|
|
materia-dark-compact-20210322-r1.apk
|
1.7 KiB |
|
|
|
materia-dark-compact-chromium-20210322-r1.apk
|
5.7 KiB |
|
|
|
materia-dark-compact-gnome-shell-20210322-r1.apk
|
32 KiB |
|
|
|
materia-dark-compact-gtk2-20210322-r1.apk
|
38 KiB |
|
|
|
materia-dark-compact-gtk3-20210322-r1.apk
|
41 KiB |
|
|
|
materia-dark-compact-kde-kvantum-20220823-r0.apk
|
1.5 KiB |
|
|
|
materia-dark-gnome-shell-20210322-r1.apk
|
32 KiB |
|
|
|
materia-dark-gtk2-20210322-r1.apk
|
38 KiB |
|
|
|
materia-dark-gtk3-20210322-r1.apk
|
41 KiB |
|
|
|
materia-dark-kde-konsole-20220823-r0.apk
|
1.8 KiB |
|
|
|
materia-dark-kde-kvantum-20220823-r0.apk
|
30 KiB |
|
|
|
materia-dark-kde-plasma-20220823-r0.apk
|
503 KiB |
|
|
|
materia-dark-kde-yakuake-20220823-r0.apk
|
22 KiB |
|
|
|
materia-gnome-shell-20210322-r1.apk
|
32 KiB |
|
|
|
materia-gtk-theme-20210322-r1.apk
|
151 KiB |
|
|
|
materia-gtk2-20210322-r1.apk
|
38 KiB |
|
|
|
materia-gtk3-20210322-r1.apk
|
65 KiB |
|
|
|
materia-kde-20220823-r0.apk
|
19 KiB |
|
|
|
materia-kde-konsole-20220823-r0.apk
|
1.8 KiB |
|
|
|
materia-kde-kvantum-20220823-r0.apk
|
30 KiB |
|
|
|
materia-kde-plasma-20220823-r0.apk
|
1.7 MiB |
|
|
|
materia-light-compact-kde-kvantum-20220823-r0.apk
|
1.5 KiB |
|
|
|
materia-light-kde-kvantum-20220823-r0.apk
|
30 KiB |
|
|
|
materia-light-kde-plasma-20220823-r0.apk
|
20 KiB |
|
|
|
materia-light-kde-yakuake-20220823-r0.apk
|
22 KiB |
|
|
|
maxima-5.47.0-r8.apk
|
22 MiB |
|
|
|
maxima-bash-completion-5.47.0-r8.apk
|
2.4 KiB |
|
|
|
maxima-doc-5.47.0-r8.apk
|
761 KiB |
|
|
|
maxima-doc-extra-5.47.0-r8.apk
|
10 MiB |
|
|
|
maxima-emacs-5.47.0-r8.apk
|
111 KiB |
|
|
|
mbpfan-2.4.0-r1.apk
|
13 KiB |
|
|
|
mbpfan-doc-2.4.0-r1.apk
|
5.3 KiB |
|
|
|
mbpfan-openrc-2.4.0-r1.apk
|
1.6 KiB |
|
|
|
mbrola-3.3-r0.apk
|
24 KiB |
|
|
|
mcjoin-2.11-r0.apk
|
26 KiB |
|
|
|
mcjoin-doc-2.11-r0.apk
|
54 KiB |
|
|
|
mcqd-1.0.0-r1.apk
|
14 KiB |
|
|
|
mcqd-dev-1.0.0-r1.apk
|
4.0 KiB |
|
|
|
md5ha1-0_git20171202-r1.apk
|
8.8 KiB |
|
|
|
mdbook-admonish-1.20.0-r0.apk
|
1.0 MiB |
|
|
|
mdbook-alerts-0.7.0-r0.apk
|
741 KiB |
|
|
|
mdbook-katex-0.9.4-r0.apk
|
1.2 MiB |
|
|
|
mdbook-linkcheck-0.7.7-r0.apk
|
2.7 MiB |
|
|
|
mdbook-mermaid-0.15.0-r0.apk
|
1.7 MiB |
|
|
|
mdbook-plantuml-0.8.0-r0.apk
|
918 KiB |
|
|
|
mdcat-2.7.1-r0.apk
|
3.0 MiB |
|
|
|
mdcat-bash-completion-2.7.1-r0.apk
|
2.2 KiB |
|
|
|
mdcat-doc-2.7.1-r0.apk
|
6.0 KiB |
|
|
|
mdcat-fish-completion-2.7.1-r0.apk
|
2.1 KiB |
|
|
|
mdcat-zsh-completion-2.7.1-r0.apk
|
2.4 KiB |
|
|
|
mdnsd-0.12-r1.apk
|
23 KiB |
|
|
|
mdnsd-doc-0.12-r1.apk
|
14 KiB |
|
|
|
mdnsd-libs-0.12-r1.apk
|
19 KiB |
|
|
|
mdnsd-openrc-0.12-r1.apk
|
2.1 KiB |
|
|
|
mdnsd-static-0.12-r1.apk
|
18 KiB |
|
|
|
mdp-1.0.15-r1.apk
|
18 KiB |
|
|
|
mdp-doc-1.0.15-r1.apk
|
3.7 KiB |
|
|
|
mediascanner2-0.115-r3.apk
|
278 KiB |
|
|
|
mediastreamer2-5.3.100-r1.apk
|
387 KiB |
|
|
|
mediastreamer2-dev-5.3.100-r1.apk
|
110 KiB |
|
|
|
mediastreamer2-doc-5.3.100-r1.apk
|
108 KiB |
|
|
|
mediastreamer2-plugin-openh264-5.2.0_git20231020-r1.apk
|
12 KiB |
|
|
|
mediastreamer2-plugin-x264-20200722-r6.apk
|
8.4 KiB |
|
|
|
meep-1.30.0-r0.apk
|
661 KiB |
|
|
|
meep-dev-1.30.0-r0.apk
|
499 KiB |
|
|
|
megatools-1.11.4.20250411-r0.apk
|
64 KiB |
|
|
|
megatools-bash-completion-1.11.4.20250411-r0.apk
|
4.1 KiB |
|
|
|
megatools-doc-1.11.4.20250411-r0.apk
|
52 KiB |
|
|
|
megazeux-2.93d-r0.apk
|
1.4 MiB |
|
|
|
megazeux-doc-2.93d-r0.apk
|
465 KiB |
|
|
|
meli-0.8.11-r0.apk
|
4.8 MiB |
|
|
|
meli-doc-0.8.11-r0.apk
|
48 KiB |
|
|
|
memdump-1.01-r1.apk
|
5.7 KiB |
|
|
|
memdump-doc-1.01-r1.apk
|
3.1 KiB |
|
|
|
menumaker-0.99.14-r1.apk
|
111 KiB |
|
|
|
merge-usr-0_git20250703-r0.apk
|
9.5 KiB |
|
|
|
mergerfs-2.40.2-r1.apk
|
297 KiB |
|
|
|
mergerfs-doc-2.40.2-r1.apk
|
42 KiB |
|
|
|
merlin-4.14-r0.apk
|
14 MiB |
|
|
|
merlin-dev-4.14-r0.apk
|
22 MiB |
|
|
|
merlin-emacs-4.14-r0.apk
|
28 KiB |
|
|
|
merlin-vim-4.14-r0.apk
|
28 KiB |
|
|
|
meson-tools-0.1-r2.apk
|
8.5 KiB |
|
|
|
meson-tools-doc-0.1-r2.apk
|
8.3 KiB |
|
|
|
mesonlsp-4.3.7-r4.apk
|
2.1 MiB |
|
|
|
metadata-cleaner-2.5.6-r0.apk
|
49 KiB |
|
|
|
metadata-cleaner-doc-2.5.6-r0.apk
|
1.9 MiB |
|
|
|
metadata-cleaner-lang-2.5.6-r0.apk
|
66 KiB |
|
|
|
metalang99-1.13.3-r0.apk
|
54 KiB |
|
|
|
milkytracker-1.04.00-r2.apk
|
1016 KiB |
|
|
|
milkytracker-doc-1.04.00-r2.apk
|
50 KiB |
|
|
|
mimalloc1-1.9.3-r1.apk
|
83 KiB |
|
|
|
mimalloc1-debug-1.9.3-r1.apk
|
200 KiB |
|
|
|
mimalloc1-dev-1.9.3-r1.apk
|
494 KiB |
|
|
|
mimalloc1-insecure-1.9.3-r1.apk
|
76 KiB |
|
|
|
mimedefang-3.6-r0.apk
|
155 KiB |
|
|
|
mimedefang-doc-3.6-r0.apk
|
80 KiB |
|
|
|
mimeo-2023-r2.apk
|
28 KiB |
|
|
|
mimeo-pyc-2023-r2.apk
|
42 KiB |
|
|
|
mingw-w64-libusb-1.0.28-r0.apk
|
220 KiB |
|
|
|
minidyndns-1.3.0-r3.apk
|
12 KiB |
|
|
|
minidyndns-doc-1.3.0-r3.apk
|
5.1 KiB |
|
|
|
minidyndns-openrc-1.3.0-r3.apk
|
1.8 KiB |
|
|
|
minigalaxy-1.3.2-r0.apk
|
168 KiB |
|
|
|
minigalaxy-pyc-1.3.2-r0.apk
|
94 KiB |
|
|
|
minimodem-0.24-r1.apk
|
22 KiB |
|
|
|
minimodem-doc-0.24-r1.apk
|
5.2 KiB |
|
|
|
minisatip-1.3.4-r0.apk
|
303 KiB |
|
|
|
minisatip-openrc-1.3.4-r0.apk
|
1.9 KiB |
|
|
|
mint-themes-2.1.1-r0.apk
|
2.2 KiB |
|
|
|
mint-themes-doc-2.1.1-r0.apk
|
13 KiB |
|
|
|
mint-x-icons-1.7.2-r0.apk
|
22 MiB |
|
|
|
mint-x-icons-doc-1.7.2-r0.apk
|
7.4 KiB |
|
|
|
mint-x-theme-2.1.1-r0.apk
|
2.3 KiB |
|
|
|
mint-x-theme-gtk2-2.1.1-r0.apk
|
490 KiB |
|
|
|
mint-x-theme-gtk3-2.1.1-r0.apk
|
604 KiB |
|
|
|
mint-x-theme-gtk4-2.1.1-r0.apk
|
510 KiB |
|
|
|
mint-x-theme-metacity-2.1.1-r0.apk
|
6.1 KiB |
|
|
|
mint-x-theme-xfwm4-2.1.1-r0.apk
|
35 KiB |
|
|
|
mint-y-icons-1.8.3-r0.apk
|
72 MiB |
|
|
|
mint-y-icons-doc-1.8.3-r0.apk
|
11 KiB |
|
|
|
mint-y-theme-2.1.1-r0.apk
|
4.1 KiB |
|
|
|
mint-y-theme-gtk2-2.1.1-r0.apk
|
656 KiB |
|
|
|
mint-y-theme-gtk3-2.1.1-r0.apk
|
1.8 MiB |
|
|
|
mint-y-theme-gtk4-2.1.1-r0.apk
|
1.6 MiB |
|
|
|
mint-y-theme-metacity-2.1.1-r0.apk
|
58 KiB |
|
|
|
mint-y-theme-xfwm4-2.1.1-r0.apk
|
207 KiB |
|
|
|
mir-2.21.0-r1.apk
|
2.3 MiB |
|
|
|
mir-demos-2.21.0-r1.apk
|
155 KiB |
|
|
|
mir-dev-2.21.0-r1.apk
|
7.3 MiB |
|
|
|
mir-test-tools-2.21.0-r1.apk
|
291 KiB |
|
|
|
mirrorhall-0.1.1-r1.apk
|
26 KiB |
|
|
|
mjpg-streamer-0_git20210220-r2.apk
|
180 KiB |
|
|
|
mkcert-1.4.4-r19.apk
|
1.9 MiB |
|
|
|
mkdocs-bootstrap-1.1.1-r2.apk
|
28 KiB |
|
|
|
mkdocs-bootstrap-pyc-1.1.1-r2.apk
|
1.8 KiB |
|
|
|
mkdocs-bootstrap386-0.0.2-r5.apk
|
791 KiB |
|
|
|
mkdocs-bootstrap386-pyc-0.0.2-r5.apk
|
1.8 KiB |
|
|
|
mkdocs-bootstrap4-0.1.5-r5.apk
|
260 KiB |
|
|
|
mkdocs-bootstrap4-pyc-0.1.5-r5.apk
|
1.8 KiB |
|
|
|
mkdocs-bootswatch-1.1-r5.apk
|
538 KiB |
|
|
|
mkdocs-bootswatch-pyc-1.1-r5.apk
|
4.7 KiB |
|
|
|
mkdocs-cinder-1.2.0-r5.apk
|
249 KiB |
|
|
|
mkdocs-cinder-pyc-1.2.0-r5.apk
|
1.8 KiB |
|
|
|
mkdocs-cluster-0.0.9-r5.apk
|
651 KiB |
|
|
|
mkdocs-cluster-pyc-0.0.9-r5.apk
|
1.8 KiB |
|
|
|
mkdocs-gitbook-0.0.1-r5.apk
|
644 KiB |
|
|
|
mkdocs-gitbook-pyc-0.0.1-r5.apk
|
1.8 KiB |
|
|
|
mkdocs-ivory-0.4.6-r5.apk
|
11 KiB |
|
|
|
mkdocs-ivory-pyc-0.4.6-r5.apk
|
1.8 KiB |
|
|
|
mkdocs-rtd-dropdown-1.0.2-r5.apk
|
248 KiB |
|
|
|
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk
|
1.8 KiB |
|
|
|
mkdocs-windmill-1.0.5-r4.apk
|
944 KiB |
|
|
|
mkdocs-windmill-pyc-1.0.5-r4.apk
|
1.8 KiB |
|
|
|
mkg3a-0.5.0-r1.apk
|
15 KiB |
|
|
|
mkg3a-doc-0.5.0-r1.apk
|
3.1 KiB |
|
|
|
mkosi-25.3-r0.apk
|
251 KiB |
|
|
|
mkosi-pyc-25.3-r0.apk
|
393 KiB |
|
|
|
mktorrent-borg-0.9.9-r1.apk
|
11 KiB |
|
|
|
mktorrent-borg-doc-0.9.9-r1.apk
|
2.5 KiB |
|
|
|
mlxl-0.1-r0.apk
|
6.4 KiB |
|
|
|
mm-1.4.2-r1.apk
|
8.7 KiB |
|
|
|
mm-common-1.0.7-r0.apk
|
414 KiB |
|
|
|
mm-common-doc-1.0.7-r0.apk
|
34 KiB |
|
|
|
mm-dev-1.4.2-r1.apk
|
13 KiB |
|
|
|
mm-doc-1.4.2-r1.apk
|
14 KiB |
|
|
|
mmar-0.2.5-r1.apk
|
2.7 MiB |
|
|
|
mmix-0_git20221025-r0.apk
|
150 KiB |
|
|
|
mml-1.0.0-r0.apk
|
864 KiB |
|
|
|
mml-bash-completion-1.0.0-r0.apk
|
2.3 KiB |
|
|
|
mml-doc-1.0.0-r0.apk
|
3.9 KiB |
|
|
|
mml-fish-completion-1.0.0-r0.apk
|
2.3 KiB |
|
|
|
mml-zsh-completion-1.0.0-r0.apk
|
2.8 KiB |
|
|
|
mnamer-2.5.5-r1.apk
|
32 KiB |
|
|
|
mnamer-pyc-2.5.5-r1.apk
|
60 KiB |
|
|
|
mobpass-0.2-r6.apk
|
18 KiB |
|
|
|
mobpass-pyc-0.2-r6.apk
|
5.2 KiB |
|
|
|
mod_dnssd-0.6-r0.apk
|
8.7 KiB |
|
|
|
modem-manager-gui-0.0.20-r0.apk
|
373 KiB |
|
|
|
modem-manager-gui-doc-0.0.20-r0.apk
|
3.9 MiB |
|
|
|
modem-manager-gui-lang-0.0.20-r0.apk
|
129 KiB |
|
|
|
moe-1.14-r0.apk
|
110 KiB |
|
|
|
moe-doc-1.14-r0.apk
|
19 KiB |
|
|
|
moka-icon-theme-5.4.0-r2.apk
|
114 MiB |
|
|
|
monetdb-11.33.11-r4.apk
|
2.2 MiB |
|
|
|
monetdb-dev-11.33.11-r4.apk
|
77 KiB |
|
|
|
monetdb-doc-11.33.11-r4.apk
|
321 KiB |
|
|
|
mongo-cxx-driver-3.8.0-r0.apk
|
202 KiB |
|
|
|
mongo-cxx-driver-dev-3.8.0-r0.apk
|
89 KiB |
|
|
|
monopd-0.10.4-r0.apk
|
100 KiB |
|
|
|
monopd-openrc-0.10.4-r0.apk
|
1.7 KiB |
|
|
|
moon-buggy-1.0.51-r1.apk
|
37 KiB |
|
|
|
moon-buggy-doc-1.0.51-r1.apk
|
7.1 KiB |
|
|
|
moosefs-4.56.6-r2.apk
|
254 KiB |
|
|
|
moosefs-cgi-4.56.6-r2.apk
|
121 KiB |
|
|
|
moosefs-cgiserv-4.56.6-r2.apk
|
7.8 KiB |
|
|
|
moosefs-cgiserv-openrc-4.56.6-r2.apk
|
2.0 KiB |
|
|
|
moosefs-chunkserver-4.56.6-r2.apk
|
189 KiB |
|
|
|
moosefs-chunkserver-openrc-4.56.6-r2.apk
|
1.7 KiB |
|
|
|
moosefs-client-4.56.6-r2.apk
|
632 KiB |
|
|
|
moosefs-doc-4.56.6-r2.apk
|
95 KiB |
|
|
|
moosefs-master-4.56.6-r2.apk
|
365 KiB |
|
|
|
moosefs-master-openrc-4.56.6-r2.apk
|
1.7 KiB |
|
|
|
moosefs-metalogger-4.56.6-r2.apk
|
42 KiB |
|
|
|
moosefs-metalogger-openrc-4.56.6-r2.apk
|
1.7 KiB |
|
|
|
moosefs-static-4.56.6-r2.apk
|
618 KiB |
|
|
|
morph-browser-1.1.2-r0.apk
|
573 KiB |
|
|
|
morph-browser-lang-1.1.2-r0.apk
|
327 KiB |
|
|
|
motion-4.7.0-r0.apk
|
140 KiB |
|
|
|
motion-doc-4.7.0-r0.apk
|
140 KiB |
|
|
|
motion-lang-4.7.0-r0.apk
|
471 KiB |
|
|
|
motion-openrc-4.7.0-r0.apk
|
2.2 KiB |
|
|
|
mp3val-0.1.8-r1.apk
|
14 KiB |
|
|
|
mpdcron-0.3-r1.apk
|
104 KiB |
|
|
|
mpdcron-dev-0.3-r1.apk
|
58 KiB |
|
|
|
mpdcron-doc-0.3-r1.apk
|
13 KiB |
|
|
|
mpdcron-zsh-completion-0.3-r1.apk
|
2.9 KiB |
|
|
|
mpdris2-0.9.1-r3.apk
|
15 KiB |
|
|
|
mpdris2-doc-0.9.1-r3.apk
|
15 KiB |
|
|
|
mpdris2-lang-0.9.1-r3.apk
|
2.3 KiB |
|
|
|
mqtt2prometheus-0.1.7-r16.apk
|
4.7 MiB |
|
|
|
mrsh-0_git20210518-r1.apk
|
5.7 KiB |
|
|
|
mrsh-dbg-0_git20210518-r1.apk
|
188 KiB |
|
|
|
mrsh-dev-0_git20210518-r1.apk
|
10 KiB |
|
|
|
mrsh-libs-0_git20210518-r1.apk
|
68 KiB |
|
|
|
msh-2.5.0-r12.apk
|
3.0 MiB |
|
|
|
msh-openrc-2.5.0-r12.apk
|
2.0 KiB |
|
|
|
mspdebug-0.25-r1.apk
|
196 KiB |
|
|
|
mspdebug-doc-0.25-r1.apk
|
14 KiB |
|
|
|
msr-tools-1.3-r1.apk
|
10 KiB |
|
|
|
murex-7.0.2107-r0.apk
|
6.3 MiB |
|
|
|
murex-doc-7.0.2107-r0.apk
|
304 KiB |
|
|
|
musikcube-3.0.4-r1.apk
|
2.4 MiB |
|
|
|
musikcube-dev-3.0.4-r1.apk
|
19 KiB |
|
|
|
musikcube-plugin-all-3.0.4-r1.apk
|
1.3 KiB |
|
|
|
musikcube-plugin-httpdatastream-3.0.4-r1.apk
|
87 KiB |
|
|
|
musikcube-plugin-mpris-3.0.4-r1.apk
|
24 KiB |
|
|
|
musikcube-plugin-openmpt-3.0.4-r1.apk
|
34 KiB |
|
|
|
musikcube-plugin-server-3.0.4-r1.apk
|
406 KiB |
|
|
|
musikcube-plugin-stockencoders-3.0.4-r1.apk
|
22 KiB |
|
|
|
musikcube-plugin-supereqdsp-3.0.4-r1.apk
|
29 KiB |
|
|
|
musikcube-plugin-taglibreader-3.0.4-r1.apk
|
39 KiB |
|
|
|
mxclient-0_git20211002-r1.apk
|
81 KiB |
|
|
|
n30f-2.0-r3.apk
|
6.8 KiB |
|
|
|
nano-hare-0_git20231021-r0.apk
|
2.2 KiB |
|
|
|
nauty-2.8.9-r0.apk
|
5.6 MiB |
|
|
|
nauty-dev-2.8.9-r0.apk
|
2.9 MiB |
|
|
|
nauty-libs-2.8.9-r0.apk
|
2.1 MiB |
|
|
|
nb-7.19.1-r0.apk
|
152 KiB |
|
|
|
nb-bash-completion-7.19.1-r0.apk
|
3.0 KiB |
|
|
|
nb-doc-7.19.1-r0.apk
|
78 KiB |
|
|
|
nb-fish-completion-7.19.1-r0.apk
|
2.8 KiB |
|
|
|
nb-full-7.19.1-r0.apk
|
1.3 KiB |
|
|
|
nb-zsh-completion-7.19.1-r0.apk
|
2.9 KiB |
|
|
|
nbsdgames-5-r0.apk
|
104 KiB |
|
|
|
nbsdgames-doc-5-r0.apk
|
9.4 KiB |
|
|
|
ndpi-4.10-r0.apk
|
1.4 MiB |
|
|
|
ndpi-dev-4.10-r0.apk
|
898 KiB |
|
|
|
neard-0.19-r0.apk
|
134 KiB |
|
|
|
neard-dev-0.19-r0.apk
|
11 KiB |
|
|
|
neard-doc-0.19-r0.apk
|
5.6 KiB |
|
|
|
neard-openrc-0.19-r0.apk
|
1.7 KiB |
|
|
|
neko-2.3.0-r0.apk
|
450 KiB |
|
|
|
neko-dev-2.3.0-r0.apk
|
10 KiB |
|
|
|
neko-doc-2.3.0-r0.apk
|
20 KiB |
|
|
|
nemo-gtkhash-plugin-1.5-r0.apk
|
26 KiB |
|
|
|
neocmakelsp-0.8.22-r0.apk
|
1.7 MiB |
|
|
|
neocmakelsp-bash-completion-0.8.22-r0.apk
|
2.0 KiB |
|
|
|
neocmakelsp-doc-0.8.22-r0.apk
|
5.6 KiB |
|
|
|
neocmakelsp-fish-completion-0.8.22-r0.apk
|
1.6 KiB |
|
|
|
neocmakelsp-zsh-completion-0.8.22-r0.apk
|
1.8 KiB |
|
|
|
neofetch-7.1.0-r2.apk
|
86 KiB |
|
|
|
neofetch-doc-7.1.0-r2.apk
|
6.2 KiB |
|
|
|
nerdlog-1.10.0-r0.apk
|
2.9 MiB |
|
|
|
nerdlog-doc-1.10.0-r0.apk
|
13 KiB |
|
|
|
net-predictable-1.5.1-r0.apk
|
953 KiB |
|
|
|
net-predictable-doc-1.5.1-r0.apk
|
2.2 KiB |
|
|
|
netdiscover-0.20-r0.apk
|
446 KiB |
|
|
|
netdiscover-doc-0.20-r0.apk
|
4.2 KiB |
|
|
|
netscanner-0.5.1-r1.apk
|
3.6 MiB |
|
|
|
netscanner-doc-0.5.1-r1.apk
|
3.3 KiB |
|
|
|
netsed-1.3-r3.apk
|
11 KiB |
|
|
|
networkmanager-openconnect-1.2.10-r1.apk
|
65 KiB |
|
|
|
networkmanager-openconnect-lang-1.2.10-r1.apk
|
986 KiB |
|
|
|
newsyslog-1.2.0.91-r1.apk
|
17 KiB |
|
|
|
newsyslog-doc-1.2.0.91-r1.apk
|
24 KiB |
|
|
|
nextpnr-0.7-r0.apk
|
1.4 KiB |
|
|
|
nextpnr-ecp5-0.7-r0.apk
|
25 MiB |
|
|
|
nextpnr-generic-0.7-r0.apk
|
781 KiB |
|
|
|
nextpnr-gowin-0.7-r0.apk
|
1.5 MiB |
|
|
|
nextpnr-ice40-0.7-r0.apk
|
69 MiB |
|
|
|
nfcd-1.2.2-r0.apk
|
320 KiB |
|
|
|
nfcd-dev-1.2.2-r0.apk
|
24 KiB |
|
|
|
nfoview-2.1-r0.apk
|
39 KiB |
|
|
|
nfoview-doc-2.1-r0.apk
|
8.0 KiB |
|
|
|
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk
|
713 KiB |
|
|
|
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk
|
21 KiB |
|
|
|
nicotine-plus-3.3.10-r0.apk
|
1.6 MiB |
|
|
|
nicotine-plus-doc-3.3.10-r0.apk
|
2.6 KiB |
|
|
|
nicotine-plus-lang-3.3.10-r0.apk
|
757 KiB |
|
|
|
nicotine-plus-pyc-3.3.10-r0.apk
|
789 KiB |
|
|
|
nitro-2.7_beta8-r2.apk
|
536 KiB |
|
|
|
nitro-dev-2.7_beta8-r2.apk
|
190 KiB |
|
|
|
nitrocli-0.4.1-r3.apk
|
438 KiB |
|
|
|
nitrocli-bash-completion-0.4.1-r3.apk
|
3.2 KiB |
|
|
|
nitrocli-doc-0.4.1-r3.apk
|
8.8 KiB |
|
|
|
nixpacks-0.1.7-r1.apk
|
904 KiB |
|
|
|
nkk-0_git20221010-r0.apk
|
16 KiB |
|
|
|
nkk-dev-0_git20221010-r0.apk
|
2.9 KiB |
|
|
|
nkk-doc-0_git20221010-r0.apk
|
7.0 KiB |
|
|
|
nlopt-2.10.0-r1.apk
|
194 KiB |
|
|
|
nlopt-dev-2.10.0-r1.apk
|
12 KiB |
|
|
|
nlopt-doc-2.10.0-r1.apk
|
23 KiB |
|
|
|
nlopt-guile-2.10.0-r1.apk
|
44 KiB |
|
|
|
nlopt-octave-2.10.0-r1.apk
|
29 KiB |
|
|
|
nm-tray-0.5.0-r0.apk
|
106 KiB |
|
|
|
nm-tray-lang-0.5.0-r0.apk
|
27 KiB |
|
|
|
nmap-parse-output-1.5.1-r1.apk
|
20 KiB |
|
|
|
nmap-parse-output-bash-completion-1.5.1-r1.apk
|
2.0 KiB |
|
|
|
nmap-parse-output-doc-1.5.1-r1.apk
|
808 KiB |
|
|
|
noblenote-1.2.1-r1.apk
|
418 KiB |
|
|
|
noggin-model-0.1-r0.apk
|
12 MiB |
|
|
|
noggin-model-lightweight-0.1-r0.apk
|
1.7 MiB |
|
|
|
noice-0.8-r1.apk
|
10 KiB |
|
|
|
noice-doc-0.8-r1.apk
|
3.4 KiB |
|
|
|
nom-2.8.0-r3.apk
|
7.3 MiB |
|
|
|
nom-doc-2.8.0-r3.apk
|
4.0 KiB |
|
|
|
nomadnet-0.7.0-r0.apk
|
143 KiB |
|
|
|
nomadnet-pyc-0.7.0-r0.apk
|
284 KiB |
|
|
|
normaliz-3.10.4-r2.apk
|
47 KiB |
|
|
|
normaliz-dev-3.10.4-r2.apk
|
73 KiB |
|
|
|
normaliz-libs-3.10.4-r2.apk
|
2.9 MiB |
|
|
|
notification-daemon-3.20.0-r1.apk
|
60 KiB |
|
|
|
nsh-0.4.2-r1.apk
|
627 KiB |
|
|
|
nsh-dbg-0.4.2-r1.apk
|
3.4 MiB |
|
|
|
nsnake-3.0.0-r0.apk
|
9.8 KiB |
|
|
|
nsnake-doc-3.0.0-r0.apk
|
2.6 KiB |
|
|
|
nsq-1.3.0-r10.apk
|
27 MiB |
|
|
|
ntpd-rs-1.6.0-r0.apk
|
3.2 MiB |
|
|
|
ntpd-rs-doc-1.6.0-r0.apk
|
24 KiB |
|
|
|
ntpd-rs-openrc-1.6.0-r0.apk
|
1.9 KiB |
|
|
|
nuklear-4.12.0-r0.apk
|
220 KiB |
|
|
|
nuklear-doc-4.12.0-r0.apk
|
42 KiB |
|
|
|
nullmailer-2.2-r4.apk
|
139 KiB |
|
|
|
nullmailer-doc-2.2-r4.apk
|
10 KiB |
|
|
|
nullmailer-openrc-2.2-r4.apk
|
1.6 KiB |
|
|
|
numbat-1.9.0-r0.apk
|
1.1 MiB |
|
|
|
numbat-doc-1.9.0-r0.apk
|
25 KiB |
|
|
|
nuzzle-1.6-r0.apk
|
11 KiB |
|
|
|
nuzzle-doc-1.6-r0.apk
|
3.2 KiB |
|
|
|
nvim-cmp-0.0.0_git20221011-r1.apk
|
55 KiB |
|
|
|
nvim-cmp-buffer-0.0.0_git20220810-r1.apk
|
7.8 KiB |
|
|
|
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk
|
4.2 KiB |
|
|
|
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk
|
3.3 KiB |
|
|
|
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk
|
1.8 KiB |
|
|
|
nvim-cmp-doc-0.0.0_git20221011-r1.apk
|
10 KiB |
|
|
|
nvim-cmp-lsp-0.0.0_git20220516-r1.apk
|
3.5 KiB |
|
|
|
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk
|
2.6 KiB |
|
|
|
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk
|
3.5 KiB |
|
|
|
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk
|
2.0 KiB |
|
|
|
nvim-cmp-path-0.0.0_git20221002-r1.apk
|
3.8 KiB |
|
|
|
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk
|
2.0 KiB |
|
|
|
nvim-gruvbox-0.0.0_git20221212-r1.apk
|
10 KiB |
|
|
|
nvim-gruvbox-doc-0.0.0_git20221212-r1.apk
|
2.8 KiB |
|
|
|
nvim-lualine-0.0.0_git20241101-r0.apk
|
60 KiB |
|
|
|
nvim-lualine-doc-0.0.0_git20241101-r0.apk
|
31 KiB |
|
|
|
nvim-packer-0.0.0_git20220910-r1.apk
|
46 KiB |
|
|
|
nvim-packer-doc-0.0.0_git20220910-r1.apk
|
21 KiB |
|
|
|
nvim-plenary-0.1.4_git20240917-r0.apk
|
102 KiB |
|
|
|
nvim-plenary-doc-0.1.4_git20240917-r0.apk
|
10 KiB |
|
|
|
nvim-treesitter-0.10.0-r0.apk
|
412 KiB |
|
|
|
nvim-treesitter-doc-0.10.0-r0.apk
|
31 KiB |
|
|
|
nvim-web-devicons-0.100_git20241011-r0.apk
|
27 KiB |
|
|
|
nvim-web-devicons-doc-0.100_git20241011-r0.apk
|
7.1 KiB |
|
|
|
nvimpager-0.12.0-r0.apk
|
13 KiB |
|
|
|
nvimpager-doc-0.12.0-r0.apk
|
4.4 KiB |
|
|
|
nvimpager-zsh-completion-0.12.0-r0.apk
|
1.8 KiB |
|
|
|
nvtop-3.2.0-r0.apk
|
66 KiB |
|
|
|
nvtop-doc-3.2.0-r0.apk
|
3.5 KiB |
|
|
|
nwg-bar-0.1.6-r10.apk
|
1.6 MiB |
|
|
|
nwg-displays-0.3.13-r1.apk
|
24 KiB |
|
|
|
nwg-displays-pyc-0.3.13-r1.apk
|
35 KiB |
|
|
|
nwg-dock-0.4.3-r0.apk
|
1.8 MiB |
|
|
|
nwg-panel-0.10.8-r0.apk
|
282 KiB |
|
|
|
nwg-panel-doc-0.10.8-r0.apk
|
4.4 KiB |
|
|
|
nwg-panel-pyc-0.10.8-r0.apk
|
269 KiB |
|
|
|
nwipe-0.38-r0.apk
|
270 KiB |
|
|
|
nwipe-doc-0.38-r0.apk
|
3.8 KiB |
|
|
|
nymphcast-mediaserver-0.1-r3.apk
|
140 KiB |
|
|
|
nymphcast-mediaserver-nftables-0.1-r3.apk
|
1.7 KiB |
|
|
|
nzbget-25.0-r0.apk
|
5.1 MiB |
|
|
|
nzbget-openrc-25.0-r0.apk
|
2.1 KiB |
|
|
|
oauth2-proxy-7.8.1-r2.apk
|
9.3 MiB |
|
|
|
oauth2-proxy-openrc-7.8.1-r2.apk
|
2.1 KiB |
|
|
|
objconv-2.52_git20210213-r2.apk
|
264 KiB |
|
|
|
oblibs-0.3.4.0-r0.apk
|
34 KiB |
|
|
|
oblibs-dbg-0.3.4.0-r0.apk
|
91 KiB |
|
|
|
oblibs-dev-0.3.4.0-r0.apk
|
288 KiB |
|
|
|
obnc-0.17.2-r0.apk
|
137 KiB |
|
|
|
obnc-doc-0.17.2-r0.apk
|
33 KiB |
|
|
|
ocaml-alcotest-1.5.0-r4.apk
|
457 KiB |
|
|
|
ocaml-alcotest-dev-1.5.0-r4.apk
|
821 KiB |
|
|
|
ocaml-amqp-client-2.3.0-r0.apk
|
598 KiB |
|
|
|
ocaml-amqp-client-dev-2.3.0-r0.apk
|
1.0 MiB |
|
|
|
ocaml-angstrom-0.16.0-r0.apk
|
175 KiB |
|
|
|
ocaml-angstrom-dev-0.16.0-r0.apk
|
340 KiB |
|
|
|
ocaml-arp-3.0.0-r3.apk
|
83 KiB |
|
|
|
ocaml-arp-dev-3.0.0-r3.apk
|
158 KiB |
|
|
|
ocaml-asn1-combinators-0.2.6-r2.apk
|
313 KiB |
|
|
|
ocaml-asn1-combinators-dev-0.2.6-r2.apk
|
572 KiB |
|
|
|
ocaml-astring-0.8.5-r2.apk
|
283 KiB |
|
|
|
ocaml-astring-dev-0.8.5-r2.apk
|
180 KiB |
|
|
|
ocaml-atd-2.15.0-r0.apk
|
6.5 MiB |
|
|
|
ocaml-atd-dev-2.15.0-r0.apk
|
1.9 MiB |
|
|
|
ocaml-base-0.16.3-r0.apk
|
4.6 MiB |
|
|
|
ocaml-base-dev-0.16.3-r0.apk
|
9.6 MiB |
|
|
|
ocaml-base64-3.5.0-r2.apk
|
88 KiB |
|
|
|
ocaml-base64-dev-3.5.0-r2.apk
|
175 KiB |
|
|
|
ocaml-bigarray-compat-1.1.0-r2.apk
|
13 KiB |
|
|
|
ocaml-bigarray-compat-dev-1.1.0-r2.apk
|
12 KiB |
|
|
|
ocaml-bigstringaf-0.9.0-r2.apk
|
47 KiB |
|
|
|
ocaml-bigstringaf-dev-0.9.0-r2.apk
|
91 KiB |
|
|
|
ocaml-biniou-1.2.1-r5.apk
|
552 KiB |
|
|
|
ocaml-biniou-dev-1.2.1-r5.apk
|
364 KiB |
|
|
|
ocaml-bisect_ppx-2.8.3-r0.apk
|
4.3 MiB |
|
|
|
ocaml-bisect_ppx-dev-2.8.3-r0.apk
|
545 KiB |
|
|
|
ocaml-bitstring-4.1.0-r3.apk
|
3.8 MiB |
|
|
|
ocaml-bitstring-dev-4.1.0-r3.apk
|
570 KiB |
|
|
|
ocaml-bos-0.2.1-r2.apk
|
455 KiB |
|
|
|
ocaml-bos-dev-0.2.1-r2.apk
|
344 KiB |
|
|
|
ocaml-ca-certs-0.2.2-r2.apk
|
28 KiB |
|
|
|
ocaml-ca-certs-dev-0.2.2-r2.apk
|
40 KiB |
|
|
|
ocaml-ca-certs-nss-3.89.1-r1.apk
|
394 KiB |
|
|
|
ocaml-ca-certs-nss-dev-3.89.1-r1.apk
|
447 KiB |
|
|
|
ocaml-ca-certs-nss-tools-3.89.1-r1.apk
|
1.5 MiB |
|
|
|
ocaml-cairo2-0.6.2-r2.apk
|
166 KiB |
|
|
|
ocaml-cairo2-dev-0.6.2-r2.apk
|
434 KiB |
|
|
|
ocaml-calendar-2.04-r4.apk
|
212 KiB |
|
|
|
ocaml-calendar-dev-2.04-r4.apk
|
140 KiB |
|
|
|
ocaml-calendar-doc-2.04-r4.apk
|
12 KiB |
|
|
|
ocaml-camlpdf-2.8.1-r0.apk
|
6.0 MiB |
|
|
|
ocaml-camlzip-1.11-r2.apk
|
114 KiB |
|
|
|
ocaml-camlzip-dev-1.11-r2.apk
|
216 KiB |
|
|
|
ocaml-camomile-1.0.2-r3.apk
|
1.3 MiB |
|
|
|
ocaml-camomile-data-1.0.2-r3.apk
|
5.1 MiB |
|
|
|
ocaml-camomile-dev-1.0.2-r3.apk
|
2.5 MiB |
|
|
|
ocaml-charinfo_width-1.1.0-r3.apk
|
102 KiB |
|
|
|
ocaml-charinfo_width-dev-1.1.0-r3.apk
|
188 KiB |
|
|
|
ocaml-cmdliner-1.1.1-r3.apk
|
462 KiB |
|
|
|
ocaml-cmdliner-dev-1.1.1-r3.apk
|
236 KiB |
|
|
|
ocaml-cmdliner-doc-1.1.1-r3.apk
|
20 KiB |
|
|
|
ocaml-cohttp-5.3.1-r0.apk
|
664 KiB |
|
|
|
ocaml-cohttp-dev-5.3.1-r0.apk
|
1.3 MiB |
|
|
|
ocaml-cohttp-tools-5.3.1-r0.apk
|
7.4 MiB |
|
|
|
ocaml-compiler-libs-repackaged-0.12.4-r3.apk
|
77 KiB |
|
|
|
ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk
|
104 KiB |
|
|
|
ocaml-conduit-6.1.0-r0.apk
|
284 KiB |
|
|
|
ocaml-conduit-dev-6.1.0-r0.apk
|
542 KiB |
|
|
|
ocaml-containers-3.7-r2.apk
|
3.5 MiB |
|
|
|
ocaml-containers-dev-3.7-r2.apk
|
6.6 MiB |
|
|
|
ocaml-containers-top-3.7-r2.apk
|
23 KiB |
|
|
|
ocaml-cpdf-2.8.1-r0.apk
|
4.8 MiB |
|
|
|
ocaml-cstruct-6.1.0-r3.apk
|
3.9 MiB |
|
|
|
ocaml-cstruct-dev-6.1.0-r3.apk
|
700 KiB |
|
|
|
ocaml-ctypes-0.20.1-r2.apk
|
867 KiB |
|
|
|
ocaml-ctypes-dev-0.20.1-r2.apk
|
847 KiB |
|
|
|
ocaml-curses-1.0.10-r2.apk
|
139 KiB |
|
|
|
ocaml-curses-dev-1.0.10-r2.apk
|
302 KiB |
|
|
|
ocaml-dns-6.2.2-r3.apk
|
2.2 MiB |
|
|
|
ocaml-dns-dev-6.2.2-r3.apk
|
4.1 MiB |
|
|
|
ocaml-dns-tools-6.2.2-r3.apk
|
10 MiB |
|
|
|
ocaml-domain-name-0.4.0-r2.apk
|
75 KiB |
|
|
|
ocaml-domain-name-dev-0.4.0-r2.apk
|
141 KiB |
|
|
|
ocaml-down-0.1.0-r3.apk
|
584 KiB |
|
|
|
ocaml-down-dev-0.1.0-r3.apk
|
266 KiB |
|
|
|
ocaml-duration-0.2.0-r2.apk
|
27 KiB |
|
|
|
ocaml-duration-dev-0.2.0-r2.apk
|
44 KiB |
|
|
|
ocaml-easy-format-1.3.4-r1.apk
|
60 KiB |
|
|
|
ocaml-easy-format-dev-1.3.4-r1.apk
|
111 KiB |
|
|
|
ocaml-eqaf-0.8-r2.apk
|
68 KiB |
|
|
|
ocaml-eqaf-dev-0.8-r2.apk
|
120 KiB |
|
|
|
ocaml-erm_xml-0_git20211229-r2.apk
|
574 KiB |
|
|
|
ocaml-erm_xml-dev-0_git20211229-r2.apk
|
770 KiB |
|
|
|
ocaml-erm_xmpp-0_git20220404-r2.apk
|
1.3 MiB |
|
|
|
ocaml-erm_xmpp-dev-0_git20220404-r2.apk
|
1.6 MiB |
|
|
|
ocaml-ethernet-3.0.0-r3.apk
|
42 KiB |
|
|
|
ocaml-ethernet-dev-3.0.0-r3.apk
|
83 KiB |
|
|
|
ocaml-extlib-1.7.9-r2.apk
|
629 KiB |
|
|
|
ocaml-extlib-dev-1.7.9-r2.apk
|
1.3 MiB |
|
|
|
ocaml-extlib-doc-1.7.9-r2.apk
|
11 KiB |
|
|
|
ocaml-ezxmlm-1.1.0-r0.apk
|
32 KiB |
|
|
|
ocaml-ezxmlm-dev-1.1.0-r0.apk
|
52 KiB |
|
|
|
ocaml-fileutils-0.6.4-r2.apk
|
309 KiB |
|
|
|
ocaml-fileutils-dev-0.6.4-r2.apk
|
598 KiB |
|
|
|
ocaml-fileutils-doc-0.6.4-r2.apk
|
16 KiB |
|
|
|
ocaml-fix-20220121-r2.apk
|
165 KiB |
|
|
|
ocaml-fix-dev-20220121-r2.apk
|
430 KiB |
|
|
|
ocaml-fmt-0.9.0-r2.apk
|
188 KiB |
|
|
|
ocaml-fmt-dev-0.9.0-r2.apk
|
123 KiB |
|
|
|
ocaml-fpath-0.7.3-r2.apk
|
136 KiB |
|
|
|
ocaml-fpath-dev-0.7.3-r2.apk
|
100 KiB |
|
|
|
ocaml-gen-1.1-r1.apk
|
320 KiB |
|
|
|
ocaml-gen-dev-1.1-r1.apk
|
608 KiB |
|
|
|
ocaml-gettext-0.4.2-r3.apk
|
3.2 MiB |
|
|
|
ocaml-gettext-dev-0.4.2-r3.apk
|
725 KiB |
|
|
|
ocaml-gettext-doc-0.4.2-r3.apk
|
19 KiB |
|
|
|
ocaml-gitlab-0.1.8-r0.apk
|
2.9 MiB |
|
|
|
ocaml-gitlab-dev-0.1.8-r0.apk
|
11 MiB |
|
|
|
ocaml-gmap-0.3.0-r2.apk
|
36 KiB |
|
|
|
ocaml-gmap-dev-0.3.0-r2.apk
|
74 KiB |
|
|
|
ocaml-happy-eyeballs-0.3.0-r2.apk
|
83 KiB |
|
|
|
ocaml-happy-eyeballs-dev-0.3.0-r2.apk
|
147 KiB |
|
|
|
ocaml-happy-eyeballs-lwt-0.3.0-r3.apk
|
38 KiB |
|
|
|
ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk
|
59 KiB |
|
|
|
ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk
|
2.4 MiB |
|
|
|
ocaml-hex-1.5.0-r2.apk
|
29 KiB |
|
|
|
ocaml-hex-dev-1.5.0-r2.apk
|
53 KiB |
|
|
|
ocaml-higlo-0.9-r0.apk
|
4.7 MiB |
|
|
|
ocaml-higlo-dev-0.9-r0.apk
|
663 KiB |
|
|
|
ocaml-hkdf-1.0.4-r2.apk
|
14 KiB |
|
|
|
ocaml-hkdf-dev-1.0.4-r2.apk
|
18 KiB |
|
|
|
ocaml-integers-0.7.0-r2.apk
|
130 KiB |
|
|
|
ocaml-integers-dev-0.7.0-r2.apk
|
271 KiB |
|
|
|
ocaml-ipaddr-5.3.1-r2.apk
|
311 KiB |
|
|
|
ocaml-ipaddr-dev-5.3.1-r2.apk
|
556 KiB |
|
|
|
ocaml-iri-1.0.0-r0.apk
|
1.8 MiB |
|
|
|
ocaml-iri-dev-1.0.0-r0.apk
|
758 KiB |
|
|
|
ocaml-iso8601-0.2.6-r0.apk
|
52 KiB |
|
|
|
ocaml-iso8601-dev-0.2.6-r0.apk
|
87 KiB |
|
|
|
ocaml-jsonm-1.0.2-r0.apk
|
116 KiB |
|
|
|
ocaml-jsonm-dev-1.0.2-r0.apk
|
65 KiB |
|
|
|
ocaml-jsonm-tools-1.0.2-r0.apk
|
432 KiB |
|
|
|
ocaml-lablgtk3-3.1.2-r3.apk
|
7.8 MiB |
|
|
|
ocaml-lablgtk3-dev-3.1.2-r3.apk
|
14 MiB |
|
|
|
ocaml-lablgtk3-extras-3.0.1-r2.apk
|
871 KiB |
|
|
|
ocaml-lablgtk3-extras-dev-3.0.1-r2.apk
|
1.5 MiB |
|
|
|
ocaml-labltk-8.06.12-r2.apk
|
2.9 MiB |
|
|
|
ocaml-labltk-dev-8.06.12-r2.apk
|
1.3 MiB |
|
|
|
ocaml-lambda-term-3.2.0-r4.apk
|
3.3 MiB |
|
|
|
ocaml-lambda-term-dev-3.2.0-r4.apk
|
3.8 MiB |
|
|
|
ocaml-lambda-term-doc-3.2.0-r4.apk
|
8.8 KiB |
|
|
|
ocaml-lambdasoup-0.7.3-r2.apk
|
188 KiB |
|
|
|
ocaml-lambdasoup-dev-0.7.3-r2.apk
|
345 KiB |
|
|
|
ocaml-libvirt-0.6.1.7-r0.apk
|
177 KiB |
|
|
|
ocaml-libvirt-dev-0.6.1.7-r0.apk
|
92 KiB |
|
|
|
ocaml-libvirt-doc-0.6.1.7-r0.apk
|
14 KiB |
|
|
|
ocaml-logs-0.7.0-r3.apk
|
115 KiB |
|
|
|
ocaml-logs-dev-0.7.0-r3.apk
|
86 KiB |
|
|
|
ocaml-lru-0.3.0-r2.apk
|
75 KiB |
|
|
|
ocaml-lru-dev-0.3.0-r2.apk
|
162 KiB |
|
|
|
ocaml-lwd-0.3-r0.apk
|
486 KiB |
|
|
|
ocaml-lwd-dev-0.3-r0.apk
|
1016 KiB |
|
|
|
ocaml-lwt-5.7.0-r0.apk
|
1.2 MiB |
|
|
|
ocaml-lwt-dev-5.7.0-r0.apk
|
3.2 MiB |
|
|
|
ocaml-lwt-dllist-1.0.1-r3.apk
|
23 KiB |
|
|
|
ocaml-lwt-dllist-dev-1.0.1-r3.apk
|
40 KiB |
|
|
|
ocaml-lwt_log-1.1.1-r5.apk
|
131 KiB |
|
|
|
ocaml-lwt_log-dev-1.1.1-r5.apk
|
255 KiB |
|
|
|
ocaml-lwt_ppx-5.7.0-r0.apk
|
3.5 MiB |
|
|
|
ocaml-lwt_react-5.7.0-r0.apk
|
119 KiB |
|
|
|
ocaml-lwt_ssl-1.2.0-r0.apk
|
29 KiB |
|
|
|
ocaml-lwt_ssl-dev-1.2.0-r0.apk
|
47 KiB |
|
|
|
ocaml-magic-mime-1.3.1-r0.apk
|
210 KiB |
|
|
|
ocaml-magic-mime-dev-1.3.1-r0.apk
|
311 KiB |
|
|
|
ocaml-markup-1.0.3-r3.apk
|
996 KiB |
|
|
|
ocaml-markup-dev-1.0.3-r3.apk
|
2.1 MiB |
|
|
|
ocaml-menhir-20220210-r3.apk
|
1.2 MiB |
|
|
|
ocaml-menhir-dev-20220210-r3.apk
|
891 KiB |
|
|
|
ocaml-menhir-doc-20220210-r3.apk
|
610 KiB |
|
|
|
ocaml-merlin-extend-0.6.1-r2.apk
|
48 KiB |
|
|
|
ocaml-merlin-extend-dev-0.6.1-r2.apk
|
91 KiB |
|
|
|
ocaml-metrics-0.4.0-r3.apk
|
242 KiB |
|
|
|
ocaml-metrics-dev-0.4.0-r3.apk
|
448 KiB |
|
|
|
ocaml-mew-0.1.0-r3.apk
|
69 KiB |
|
|
|
ocaml-mew-dev-0.1.0-r3.apk
|
96 KiB |
|
|
|
ocaml-mew_vi-0.5.0-r3.apk
|
182 KiB |
|
|
|
ocaml-mew_vi-dev-0.5.0-r3.apk
|
294 KiB |
|
|
|
ocaml-mikmatch-1.0.9-r2.apk
|
144 KiB |
|
|
|
ocaml-mirage-clock-4.2.0-r2.apk
|
30 KiB |
|
|
|
ocaml-mirage-clock-dev-4.2.0-r2.apk
|
35 KiB |
|
|
|
ocaml-mirage-crypto-0.10.6-r3.apk
|
1.2 MiB |
|
|
|
ocaml-mirage-crypto-dev-0.10.6-r3.apk
|
5.4 MiB |
|
|
|
ocaml-mirage-flow-3.0.0-r3.apk
|
108 KiB |
|
|
|
ocaml-mirage-flow-dev-3.0.0-r3.apk
|
193 KiB |
|
|
|
ocaml-mirage-kv-4.0.1-r3.apk
|
20 KiB |
|
|
|
ocaml-mirage-kv-dev-4.0.1-r3.apk
|
43 KiB |
|
|
|
ocaml-mirage-net-4.0.0-r3.apk
|
12 KiB |
|
|
|
ocaml-mirage-net-dev-4.0.0-r3.apk
|
20 KiB |
|
|
|
ocaml-mirage-profile-0.9.1-r3.apk
|
24 KiB |
|
|
|
ocaml-mirage-profile-dev-0.9.1-r3.apk
|
32 KiB |
|
|
|
ocaml-mirage-random-3.0.0-r3.apk
|
7.1 KiB |
|
|
|
ocaml-mirage-random-dev-3.0.0-r3.apk
|
5.4 KiB |
|
|
|
ocaml-mirage-time-3.0.0-r4.apk
|
12 KiB |
|
|
|
ocaml-mirage-time-dev-3.0.0-r4.apk
|
8.3 KiB |
|
|
|
ocaml-mmap-1.2.0-r3.apk
|
7.2 KiB |
|
|
|
ocaml-mmap-dev-1.2.0-r3.apk
|
6.5 KiB |
|
|
|
ocaml-mqtt-0.2.2-r0.apk
|
155 KiB |
|
|
|
ocaml-mqtt-dev-0.2.2-r0.apk
|
256 KiB |
|
|
|
ocaml-mtime-1.4.0-r2.apk
|
49 KiB |
|
|
|
ocaml-mtime-dev-1.4.0-r2.apk
|
47 KiB |
|
|
|
ocaml-notty-0.2.3-r0.apk
|
308 KiB |
|
|
|
ocaml-notty-dev-0.2.3-r0.apk
|
610 KiB |
|
|
|
ocaml-num-1.4-r3.apk
|
256 KiB |
|
|
|
ocaml-num-dev-1.4-r3.apk
|
92 KiB |
|
|
|
ocaml-obuild-0.1.11-r0.apk
|
1.0 MiB |
|
|
|
ocaml-ocf-0.8.0-r3.apk
|
7.4 MiB |
|
|
|
ocaml-ocf-dev-0.8.0-r3.apk
|
318 KiB |
|
|
|
ocaml-ocp-indent-1.8.2-r2.apk
|
530 KiB |
|
|
|
ocaml-ocp-indent-dev-1.8.2-r2.apk
|
638 KiB |
|
|
|
ocaml-ocp-index-1.3.6-r0.apk
|
451 KiB |
|
|
|
ocaml-ocp-index-dev-1.3.6-r0.apk
|
529 KiB |
|
|
|
ocaml-ocplib-endian-1.2-r3.apk
|
157 KiB |
|
|
|
ocaml-ocplib-endian-dev-1.2-r3.apk
|
259 KiB |
|
|
|
ocaml-omake-0.10.6-r0.apk
|
1.5 MiB |
|
|
|
ocaml-omake-doc-0.10.6-r0.apk
|
8.0 KiB |
|
|
|
ocaml-omod-0.0.3-r3.apk
|
327 KiB |
|
|
|
ocaml-omod-bin-0.0.3-r3.apk
|
2.5 MiB |
|
|
|
ocaml-omod-dev-0.0.3-r3.apk
|
211 KiB |
|
|
|
ocaml-otoml-1.0.5-r0.apk
|
437 KiB |
|
|
|
ocaml-otoml-dev-1.0.5-r0.apk
|
775 KiB |
|
|
|
ocaml-otr-0.3.10-r2.apk
|
278 KiB |
|
|
|
ocaml-otr-dev-0.3.10-r2.apk
|
519 KiB |
|
|
|
ocaml-ounit-2.2.7-r3.apk
|
530 KiB |
|
|
|
ocaml-ounit-dev-2.2.7-r3.apk
|
1.0 MiB |
|
|
|
ocaml-parsexp-0.16.0-r0.apk
|
380 KiB |
|
|
|
ocaml-parsexp-dev-0.16.0-r0.apk
|
927 KiB |
|
|
|
ocaml-pbkdf-1.2.0-r2.apk
|
17 KiB |
|
|
|
ocaml-pbkdf-dev-1.2.0-r2.apk
|
25 KiB |
|
|
|
ocaml-pcre-7.5.0-r4.apk
|
152 KiB |
|
|
|
ocaml-pcre-dev-7.5.0-r4.apk
|
302 KiB |
|
|
|
ocaml-ppx_blob-0.8.0-r0.apk
|
3.5 MiB |
|
|
|
ocaml-ppx_blob-dev-0.8.0-r0.apk
|
19 KiB |
|
|
|
ocaml-ppx_derivers-1.2.1-r2.apk
|
9.5 KiB |
|
|
|
ocaml-ppx_derivers-dev-1.2.1-r2.apk
|
11 KiB |
|
|
|
ocaml-ppx_deriving-5.3.0-r0.apk
|
4.7 MiB |
|
|
|
ocaml-ppx_deriving-dev-5.3.0-r0.apk
|
1.3 MiB |
|
|
|
ocaml-ppx_sexp_conv-0.16.0-r0.apk
|
560 KiB |
|
|
|
ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk
|
1.1 MiB |
|
|
|
ocaml-ppxlib-0.32.0-r0.apk
|
12 MiB |
|
|
|
ocaml-ppxlib-dev-0.32.0-r0.apk
|
16 MiB |
|
|
|
ocaml-psq-0.2.0-r2.apk
|
95 KiB |
|
|
|
ocaml-psq-dev-0.2.0-r2.apk
|
171 KiB |
|
|
|
ocaml-ptime-1.0.0-r2.apk
|
112 KiB |
|
|
|
ocaml-ptime-dev-1.0.0-r2.apk
|
75 KiB |
|
|
|
ocaml-ptmap-2.0.5-r3.apk
|
53 KiB |
|
|
|
ocaml-ptmap-dev-2.0.5-r3.apk
|
94 KiB |
|
|
|
ocaml-qcheck-0.18.1-r3.apk
|
657 KiB |
|
|
|
ocaml-qcheck-dev-0.18.1-r3.apk
|
1.3 MiB |
|
|
|
ocaml-qtest-2.11.2-r3.apk
|
321 KiB |
|
|
|
ocaml-qtest-dev-2.11.2-r3.apk
|
3.6 KiB |
|
|
|
ocaml-randomconv-0.1.3-r2.apk
|
14 KiB |
|
|
|
ocaml-randomconv-dev-0.1.3-r2.apk
|
18 KiB |
|
|
|
ocaml-re-1.11.0-r1.apk
|
522 KiB |
|
|
|
ocaml-re-dev-1.11.0-r1.apk
|
970 KiB |
|
|
|
ocaml-react-1.2.2-r2.apk
|
280 KiB |
|
|
|
ocaml-react-dev-1.2.2-r2.apk
|
192 KiB |
|
|
|
ocaml-reason-3.8.2-r1.apk
|
15 MiB |
|
|
|
ocaml-reason-dev-3.8.2-r1.apk
|
28 MiB |
|
|
|
ocaml-result-1.5-r2.apk
|
9.2 KiB |
|
|
|
ocaml-result-dev-1.5-r2.apk
|
8.1 KiB |
|
|
|
ocaml-rresult-0.7.0-r2.apk
|
38 KiB |
|
|
|
ocaml-rresult-dev-0.7.0-r2.apk
|
35 KiB |
|
|
|
ocaml-sedlex-3.2-r0.apk
|
3.9 MiB |
|
|
|
ocaml-sedlex-dev-3.2-r0.apk
|
1.5 MiB |
|
|
|
ocaml-seq-0.3.1-r2.apk
|
14 KiB |
|
|
|
ocaml-seq-dev-0.3.1-r2.apk
|
20 KiB |
|
|
|
ocaml-sexplib-0.16.0-r0.apk
|
483 KiB |
|
|
|
ocaml-sexplib-dev-0.16.0-r0.apk
|
820 KiB |
|
|
|
ocaml-sexplib0-0.16.0-r0.apk
|
169 KiB |
|
|
|
ocaml-sexplib0-dev-0.16.0-r0.apk
|
335 KiB |
|
|
|
ocaml-sha-1.15.4-r0.apk
|
76 KiB |
|
|
|
ocaml-sha-dev-1.15.4-r0.apk
|
243 KiB |
|
|
|
ocaml-ssl-0.7.0-r0.apk
|
96 KiB |
|
|
|
ocaml-ssl-dev-0.7.0-r0.apk
|
204 KiB |
|
|
|
ocaml-stdlib-shims-0.3.0-r2.apk
|
4.2 KiB |
|
|
|
ocaml-stk-0.1.0-r0.apk
|
6.0 MiB |
|
|
|
ocaml-stk-dev-0.1.0-r0.apk
|
9.8 MiB |
|
|
|
ocaml-stringext-1.6.0-r2.apk
|
42 KiB |
|
|
|
ocaml-stringext-dev-1.6.0-r2.apk
|
77 KiB |
|
|
|
ocaml-tcpip-7.1.2-r3.apk
|
1.2 MiB |
|
|
|
ocaml-tcpip-dev-7.1.2-r3.apk
|
2.4 MiB |
|
|
|
ocaml-tls-0.15.3-r4.apk
|
1.2 MiB |
|
|
|
ocaml-tls-dev-0.15.3-r4.apk
|
2.3 MiB |
|
|
|
ocaml-tophide-1.0.4-r2.apk
|
5.7 KiB |
|
|
|
ocaml-topkg-1.0.5-r2.apk
|
604 KiB |
|
|
|
ocaml-topkg-dev-1.0.5-r2.apk
|
398 KiB |
|
|
|
ocaml-trie-1.0.0-r2.apk
|
16 KiB |
|
|
|
ocaml-trie-dev-1.0.0-r2.apk
|
25 KiB |
|
|
|
ocaml-tsdl-1.0.0-r0.apk
|
904 KiB |
|
|
|
ocaml-tsdl-dev-1.0.0-r0.apk
|
549 KiB |
|
|
|
ocaml-tsdl-image-0.6-r0.apk
|
47 KiB |
|
|
|
ocaml-tsdl-image-dev-0.6-r0.apk
|
76 KiB |
|
|
|
ocaml-tsdl-ttf-0.6-r0.apk
|
62 KiB |
|
|
|
ocaml-tsdl-ttf-dev-0.6-r0.apk
|
107 KiB |
|
|
|
ocaml-uri-4.2.0-r2.apk
|
1.4 MiB |
|
|
|
ocaml-uri-dev-4.2.0-r2.apk
|
4.3 MiB |
|
|
|
ocaml-utop-2.9.1-r4.apk
|
349 KiB |
|
|
|
ocaml-utop-dev-2.9.1-r4.apk
|
763 KiB |
|
|
|
ocaml-uucd-14.0.0-r2.apk
|
270 KiB |
|
|
|
ocaml-uucd-dev-14.0.0-r2.apk
|
161 KiB |
|
|
|
ocaml-uucp-14.0.0-r2.apk
|
4.9 MiB |
|
|
|
ocaml-uucp-dev-14.0.0-r2.apk
|
1.0 MiB |
|
|
|
ocaml-uuidm-0.9.8-r2.apk
|
44 KiB |
|
|
|
ocaml-uuidm-dev-0.9.8-r2.apk
|
24 KiB |
|
|
|
ocaml-uuidm-tools-0.9.8-r2.apk
|
430 KiB |
|
|
|
ocaml-uunf-14.0.0-r2.apk
|
1.1 MiB |
|
|
|
ocaml-uunf-dev-14.0.0-r2.apk
|
189 KiB |
|
|
|
ocaml-uuseg-14.0.0-r2.apk
|
92 KiB |
|
|
|
ocaml-uuseg-dev-14.0.0-r2.apk
|
48 KiB |
|
|
|
ocaml-uuseg-tools-14.0.0-r2.apk
|
1.2 MiB |
|
|
|
ocaml-uutf-1.0.3-r2.apk
|
605 KiB |
|
|
|
ocaml-uutf-dev-1.0.3-r2.apk
|
54 KiB |
|
|
|
ocaml-x509-0.16.0-r2.apk
|
818 KiB |
|
|
|
ocaml-x509-dev-0.16.0-r2.apk
|
1.5 MiB |
|
|
|
ocaml-xml-light-2.5-r0.apk
|
214 KiB |
|
|
|
ocaml-xml-light-dev-2.5-r0.apk
|
383 KiB |
|
|
|
ocaml-xmlm-1.4.0-r2.apk
|
544 KiB |
|
|
|
ocaml-xmlm-dev-1.4.0-r2.apk
|
96 KiB |
|
|
|
ocaml-xtmpl-0.19.0-r0.apk
|
8.3 MiB |
|
|
|
ocaml-xtmpl-dev-0.19.0-r0.apk
|
772 KiB |
|
|
|
ocaml-yojson-2.1.2-r0.apk
|
1.0 MiB |
|
|
|
ocaml-yojson-dev-2.1.2-r0.apk
|
1.6 MiB |
|
|
|
ocaml-zed-3.1.0-r3.apk
|
512 KiB |
|
|
|
ocaml-zed-dev-3.1.0-r3.apk
|
1.1 MiB |
|
|
|
ocamlnet-4.1.9-r2.apk
|
16 MiB |
|
|
|
ocamlnet-dev-4.1.9-r2.apk
|
5.5 MiB |
|
|
|
ocamlnet-tcl-4.1.9-r2.apk
|
50 KiB |
|
|
|
ocfs2-tools-1.8.7-r4.apk
|
1.2 MiB |
|
|
|
ocfs2-tools-dev-1.8.7-r4.apk
|
45 KiB |
|
|
|
ocfs2-tools-doc-1.8.7-r4.apk
|
69 KiB |
|
|
|
ocp-indent-1.8.2-r2.apk
|
1.1 MiB |
|
|
|
ocp-indent-doc-1.8.2-r2.apk
|
17 KiB |
|
|
|
ocp-indent-emacs-1.8.2-r2.apk
|
3.7 KiB |
|
|
|
ocp-indent-vim-1.8.2-r2.apk
|
2.4 KiB |
|
|
|
ocp-index-1.3.6-r0.apk
|
5.1 MiB |
|
|
|
ocp-index-doc-1.3.6-r0.apk
|
31 KiB |
|
|
|
ocp-index-emacs-1.3.6-r0.apk
|
6.3 KiB |
|
|
|
ocp-index-vim-1.3.6-r0.apk
|
3.1 KiB |
|
|
|
octoprint-1.10.3-r0.apk
|
3.0 MiB |
|
|
|
octoprint-creality2xfix-0.0.4-r2.apk
|
4.7 KiB |
|
|
|
octoprint-creality2xfix-pyc-0.0.4-r2.apk
|
3.3 KiB |
|
|
|
octoprint-filecheck-2024.3.27-r1.apk
|
28 KiB |
|
|
|
octoprint-filecheck-pyc-2024.3.27-r1.apk
|
11 KiB |
|
|
|
octoprint-firmwarecheck-2021.10.11-r2.apk
|
29 KiB |
|
|
|
octoprint-firmwarecheck-pyc-2021.10.11-r2.apk
|
18 KiB |
|
|
|
octoprint-openrc-1.10.3-r0.apk
|
1.7 KiB |
|
|
|
octoprint-pisupport-2023.10.10-r1.apk
|
31 KiB |
|
|
|
octoprint-pisupport-pyc-2023.10.10-r1.apk
|
13 KiB |
|
|
|
octoprint-pyc-1.10.3-r0.apk
|
1.2 MiB |
|
|
|
odyssey-1.3-r3.apk
|
127 KiB |
|
|
|
odyssey-openrc-1.3-r3.apk
|
2.0 KiB |
|
|
|
oil-0.21.0-r0.apk
|
1.4 MiB |
|
|
|
oil-doc-0.21.0-r0.apk
|
7.0 KiB |
|
|
|
oils-for-unix-0.31.0-r0.apk
|
667 KiB |
|
|
|
oils-for-unix-bash-0.31.0-r0.apk
|
1.5 KiB |
|
|
|
oils-for-unix-binsh-0.31.0-r0.apk
|
1.5 KiB |
|
|
|
oils-for-unix-doc-0.31.0-r0.apk
|
7.0 KiB |
|
|
|
ol-2.6-r0.apk
|
1.0 MiB |
|
|
|
ol-dev-2.6-r0.apk
|
16 KiB |
|
|
|
ol-doc-2.6-r0.apk
|
2.6 KiB |
|
|
|
olab-0.1.8-r0.apk
|
3.3 MiB |
|
|
|
olsrd-0.9.8-r3.apk
|
165 KiB |
|
|
|
olsrd-doc-0.9.8-r3.apk
|
25 KiB |
|
|
|
olsrd-openrc-0.9.8-r3.apk
|
1.9 KiB |
|
|
|
olsrd-plugins-0.9.8-r3.apk
|
176 KiB |
|
|
|
onioncat-4.11.0-r1.apk
|
64 KiB |
|
|
|
onioncat-doc-4.11.0-r1.apk
|
19 KiB |
|
|
|
oniux-0.5.0-r0.apk
|
5.6 MiB |
|
|
|
oniux-doc-0.5.0-r0.apk
|
3.1 KiB |
|
|
|
opcr-policy-0.3.0-r4.apk
|
9.2 MiB |
|
|
|
openapi-tui-0.9.4-r1.apk
|
4.0 MiB |
|
|
|
openapi-validator-1.19.2-r0.apk
|
9.9 MiB |
|
|
|
openconnect-sso-0.8.0_git20230822-r0.apk
|
29 KiB |
|
|
|
openconnect-sso-pyc-0.8.0_git20230822-r0.apk
|
29 KiB |
|
|
|
opendht-3.1.11-r0.apk
|
199 KiB |
|
|
|
opendht-dev-3.1.11-r0.apk
|
71 KiB |
|
|
|
opendht-doc-3.1.11-r0.apk
|
3.0 KiB |
|
|
|
opendht-libs-3.1.11-r0.apk
|
633 KiB |
|
|
|
openfortivpn-1.22.1-r0.apk
|
41 KiB |
|
|
|
openfortivpn-doc-1.22.1-r0.apk
|
6.3 KiB |
|
|
|
openfpgaloader-0.11.0-r0.apk
|
1.9 MiB |
|
|
|
openocd-esp32-0_git20250422-r0.apk
|
1.9 MiB |
|
|
|
openocd-esp32-dev-0_git20250422-r0.apk
|
3.7 KiB |
|
|
|
openocd-esp32-doc-0_git20250422-r0.apk
|
3.2 KiB |
|
|
|
openocd-esp32-udev-rules-0_git20250422-r0.apk
|
3.5 KiB |
|
|
|
openocd-git-0_git20240113-r1.apk
|
1.6 MiB |
|
|
|
openocd-git-cmd-openocd-0_git20240113-r1.apk
|
1.5 KiB |
|
|
|
openocd-git-dbg-0_git20240113-r1.apk
|
3.7 MiB |
|
|
|
openocd-git-dev-0_git20240113-r1.apk
|
3.4 KiB |
|
|
|
openocd-git-doc-0_git20240113-r1.apk
|
3.3 KiB |
|
|
|
openocd-git-udev-rules-0_git20240113-r1.apk
|
3.4 KiB |
|
|
|
openocd-riscv-0_git20230104-r2.apk
|
1.5 MiB |
|
|
|
openocd-riscv-dev-0_git20230104-r2.apk
|
3.7 KiB |
|
|
|
openocd-riscv-doc-0_git20230104-r2.apk
|
3.3 KiB |
|
|
|
openocd-riscv-udev-rules-0_git20230104-r2.apk
|
3.3 KiB |
|
|
|
openrdap-0.9.1-r0.apk
|
3.5 MiB |
|
|
|
openrdap-doc-0.9.1-r0.apk
|
2.2 KiB |
|
|
|
openscap-daemon-0.1.10-r9.apk
|
60 KiB |
|
|
|
openscap-daemon-doc-0.1.10-r9.apk
|
18 KiB |
|
|
|
openscap-daemon-pyc-0.1.10-r9.apk
|
102 KiB |
|
|
|
openslide-3.4.1-r3.apk
|
89 KiB |
|
|
|
openslide-dev-3.4.1-r3.apk
|
6.9 KiB |
|
|
|
openslide-doc-3.4.1-r3.apk
|
4.9 KiB |
|
|
|
openslide-tools-3.4.1-r3.apk
|
9.5 KiB |
|
|
|
opensm-3.3.24-r2.apk
|
465 KiB |
|
|
|
opensm-dev-3.3.24-r2.apk
|
219 KiB |
|
|
|
opensm-doc-3.3.24-r2.apk
|
38 KiB |
|
|
|
opensm-openrc-3.3.24-r2.apk
|
2.8 KiB |
|
|
|
openspades-0.1.3-r5.apk
|
11 MiB |
|
|
|
openspades-doc-0.1.3-r5.apk
|
20 KiB |
|
|
|
openswitcher-0.5.0-r4.apk
|
148 KiB |
|
|
|
openswitcher-proxy-0.5.0-r4.apk
|
9.4 KiB |
|
|
|
openswitcher-proxy-openrc-0.5.0-r4.apk
|
2.0 KiB |
|
|
|
opentelemetry-cpp-1.21.0-r1.apk
|
644 KiB |
|
|
|
opentelemetry-cpp-dev-1.21.0-r1.apk
|
558 KiB |
|
|
|
opentelemetry-cpp-exporter-otlp-common-1.21.0-r1.apk
|
50 KiB |
|
|
|
opentelemetry-cpp-exporter-otlp-grpc-1.21.0-r1.apk
|
58 KiB |
|
|
|
opentelemetry-cpp-exporter-otlp-http-1.21.0-r1.apk
|
89 KiB |
|
|
|
opentelemetry-cpp-exporter-zipkin-1.21.0-r1.apk
|
51 KiB |
|
|
|
openvpn3-3.8.5-r1.apk
|
413 KiB |
|
|
|
openvpn3-dev-3.8.5-r1.apk
|
667 KiB |
|
|
|
openwsman-2.8.1-r1.apk
|
47 KiB |
|
|
|
openwsman-dev-2.8.1-r1.apk
|
56 KiB |
|
|
|
openwsman-doc-2.8.1-r1.apk
|
2.4 KiB |
|
|
|
openwsman-libs-2.8.1-r1.apk
|
323 KiB |
|
|
|
opkg-0.7.0-r0.apk
|
10 KiB |
|
|
|
opkg-dev-0.7.0-r0.apk
|
106 KiB |
|
|
|
opkg-doc-0.7.0-r0.apk
|
7.6 KiB |
|
|
|
opkg-libs-0.7.0-r0.apk
|
80 KiB |
|
|
|
opkg-utils-0.7.0-r0.apk
|
25 KiB |
|
|
|
opkg-utils-doc-0.7.0-r0.apk
|
3.6 KiB |
|
|
|
opmsg-1.84-r1.apk
|
304 KiB |
|
|
|
orage-4.20.1-r0.apk
|
576 KiB |
|
|
|
orage-lang-4.20.1-r0.apk
|
1.2 MiB |
|
|
|
osmctools-0.9-r0.apk
|
123 KiB |
|
|
|
ostui-1.0.3-r2.apk
|
5.0 MiB |
|
|
|
ostui-doc-1.0.3-r2.apk
|
28 KiB |
|
|
|
otf-atkinson-hyperlegible-2020.0514-r1.apk
|
102 KiB |
|
|
|
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk
|
48 KiB |
|
|
|
otpclient-4.0.2-r1.apk
|
134 KiB |
|
|
|
otpclient-doc-4.0.2-r1.apk
|
3.6 KiB |
|
|
|
otrs-6.0.48-r2.apk
|
29 MiB |
|
|
|
otrs-apache2-6.0.48-r2.apk
|
4.0 KiB |
|
|
|
otrs-bash-completion-6.0.48-r2.apk
|
2.4 KiB |
|
|
|
otrs-dev-6.0.48-r2.apk
|
3.9 MiB |
|
|
|
otrs-doc-6.0.48-r2.apk
|
795 KiB |
|
|
|
otrs-fastcgi-6.0.48-r2.apk
|
1.8 KiB |
|
|
|
otrs-nginx-6.0.48-r2.apk
|
1.8 KiB |
|
|
|
otrs-openrc-6.0.48-r2.apk
|
1.9 KiB |
|
|
|
otrs-setup-6.0.48-r2.apk
|
107 KiB |
|
|
|
ouch-0.6.1-r0.apk
|
1.7 MiB |
|
|
|
ouch-bash-completion-0.6.1-r0.apk
|
2.5 KiB |
|
|
|
ouch-doc-0.6.1-r0.apk
|
3.8 KiB |
|
|
|
ouch-fish-completion-0.6.1-r0.apk
|
3.0 KiB |
|
|
|
ouch-zsh-completion-0.6.1-r0.apk
|
3.1 KiB |
|
|
|
ovn-24.03.1-r0.apk
|
8.1 MiB |
|
|
|
ovn-dbg-24.03.1-r0.apk
|
23 MiB |
|
|
|
ovn-dev-24.03.1-r0.apk
|
9.3 MiB |
|
|
|
ovn-doc-24.03.1-r0.apk
|
512 KiB |
|
|
|
ovn-openrc-24.03.1-r0.apk
|
4.1 KiB |
|
|
|
ovos-audio-0.3.1-r0.apk
|
136 KiB |
|
|
|
ovos-audio-pyc-0.3.1-r0.apk
|
36 KiB |
|
|
|
ovos-core-1.3.1-r0.apk
|
51 KiB |
|
|
|
ovos-core-pyc-1.3.1-r0.apk
|
64 KiB |
|
|
|
ovos-gui-1.1.0-r0.apk
|
31 KiB |
|
|
|
ovos-gui-pyc-1.1.0-r0.apk
|
38 KiB |
|
|
|
ovos-messagebus-0.0.10-r0.apk
|
10 KiB |
|
|
|
ovos-messagebus-pyc-0.0.10-r0.apk
|
6.6 KiB |
|
|
|
ovos-phal-0.2.7-r0.apk
|
10 KiB |
|
|
|
ovos-phal-pyc-0.2.7-r0.apk
|
7.2 KiB |
|
|
|
ovos-skill-hello-world-0.0.4_alpha3-r1.apk
|
46 KiB |
|
|
|
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk
|
4.0 KiB |
|
|
|
ovpncc-0.1_rc1-r0.apk
|
12 KiB |
|
|
|
ovpncc-doc-0.1_rc1-r0.apk
|
6.5 KiB |
|
|
|
oxygen-icons-6.1.0-r0.apk
|
32 MiB |
|
|
|
p0f-3.09b-r3.apk
|
75 KiB |
|
|
|
p0f-doc-3.09b-r3.apk
|
25 KiB |
|
|
|
p910nd-0.97-r2.apk
|
8.7 KiB |
|
|
|
p910nd-doc-0.97-r2.apk
|
3.0 KiB |
|
|
|
p910nd-openrc-0.97-r2.apk
|
1.8 KiB |
|
|
|
pacoloco-1.7-r1.apk
|
5.1 MiB |
|
|
|
pacoloco-doc-1.7-r1.apk
|
2.3 KiB |
|
|
|
pacoloco-openrc-1.7-r1.apk
|
1.9 KiB |
|
|
|
pacparser-1.4.5-r1.apk
|
707 KiB |
|
|
|
pacparser-dev-1.4.5-r1.apk
|
3.6 KiB |
|
|
|
pacparser-doc-1.4.5-r1.apk
|
18 KiB |
|
|
|
paged-markdown-3-pdf-0.1.3-r0.apk
|
482 KiB |
|
|
|
paged-markdown-3-pdf-bash-completion-0.1.3-r0.apk
|
1.9 KiB |
|
|
|
paged-markdown-3-pdf-fish-completion-0.1.3-r0.apk
|
1.8 KiB |
|
|
|
paged-markdown-3-pdf-zsh-completion-0.1.3-r0.apk
|
1.9 KiB |
|
|
|
pam-krb5-4.11-r1.apk
|
23 KiB |
|
|
|
pam-krb5-doc-4.11-r1.apk
|
24 KiB |
|
|
|
pam-pkcs11-0.6.13-r0.apk
|
262 KiB |
|
|
|
pam-pkcs11-doc-0.6.13-r0.apk
|
14 KiB |
|
|
|
pam_sqlite3-1.0.2-r2.apk
|
8.8 KiB |
|
|
|
pamtester-0.1.2-r4.apk
|
9.0 KiB |
|
|
|
pamtester-doc-0.1.2-r4.apk
|
2.9 KiB |
|
|
|
pantalaimon-0.10.5-r4.apk
|
45 KiB |
|
|
|
pantalaimon-doc-0.10.5-r4.apk
|
6.4 KiB |
|
|
|
pantalaimon-pyc-0.10.5-r4.apk
|
83 KiB |
|
|
|
pantalaimon-ui-0.10.5-r4.apk
|
1.7 KiB |
|
|
|
paperde-0.2.1-r2.apk
|
672 KiB |
|
|
|
paperde-dev-0.2.1-r2.apk
|
5.2 KiB |
|
|
|
paperkey-1.6-r2.apk
|
16 KiB |
|
|
|
paperkey-doc-1.6-r2.apk
|
4.5 KiB |
|
|
|
paprefs-1.2-r2.apk
|
33 KiB |
|
|
|
paprefs-lang-1.2-r2.apk
|
38 KiB |
|
|
|
par-1.53.0-r1.apk
|
14 KiB |
|
|
|
par-doc-1.53.0-r1.apk
|
30 KiB |
|
|
|
par2cmdline-turbo-1.3.0-r0.apk
|
282 KiB |
|
|
|
par2cmdline-turbo-doc-1.3.0-r0.apk
|
5.9 KiB |
|
|
|
parcellite-1.2.5-r0.apk
|
225 KiB |
|
|
|
parcellite-doc-1.2.5-r0.apk
|
25 KiB |
|
|
|
parcellite-lang-1.2.5-r0.apk
|
49 KiB |
|
|
|
parse-changelog-0.6.12-r0.apk
|
549 KiB |
|
|
|
pash-2.3.0-r2.apk
|
4.3 KiB |
|
|
|
pass2csv-1.1.1-r1.apk
|
8.4 KiB |
|
|
|
pass2csv-pyc-1.1.1-r1.apk
|
7.5 KiB |
|
|
|
pasystray-0.8.2-r0.apk
|
46 KiB |
|
|
|
pasystray-doc-0.8.2-r0.apk
|
3.3 KiB |
|
|
|
pcem-17-r2.apk
|
1.7 MiB |
|
|
|
pdal-python-plugins-1.6.5-r0.apk
|
272 KiB |
|
|
|
pdf2svg-0.2.3-r1.apk
|
4.9 KiB |
|
|
|
pdfcrack-0.20-r0.apk
|
25 KiB |
|
|
|
pebble-le-0.3.0-r2.apk
|
66 KiB |
|
|
|
pebble-le-dev-0.3.0-r2.apk
|
40 KiB |
|
|
|
pebble-le-doc-0.3.0-r2.apk
|
3.7 KiB |
|
|
|
peervpn-0.044-r5.apk
|
43 KiB |
|
|
|
peervpn-openrc-0.044-r5.apk
|
1.8 KiB |
|
|
|
peg-0.1.18-r1.apk
|
40 KiB |
|
|
|
peg-doc-0.1.18-r1.apk
|
14 KiB |
|
|
|
pegasus-frontend-16_alpha-r0.apk
|
1.2 MiB |
|
|
|
pegasus-frontend-doc-16_alpha-r0.apk
|
16 KiB |
|
|
|
percona-toolkit-3.5.4-r1.apk
|
1.8 MiB |
|
|
|
percona-toolkit-doc-3.5.4-r1.apk
|
298 KiB |
|
|
|
perl-adapter-async-0.019-r0.apk
|
8.1 KiB |
|
|
|
perl-adapter-async-doc-0.019-r0.apk
|
17 KiB |
|
|
|
perl-algorithm-backoff-0.010-r0.apk
|
9.6 KiB |
|
|
|
perl-algorithm-backoff-doc-0.010-r0.apk
|
30 KiB |
|
|
|
perl-algorithm-c3-0.11-r1.apk
|
5.7 KiB |
|
|
|
perl-algorithm-c3-doc-0.11-r1.apk
|
5.1 KiB |
|
|
|
perl-algorithm-cron-0.10-r4.apk
|
6.1 KiB |
|
|
|
perl-algorithm-cron-doc-0.10-r4.apk
|
4.6 KiB |
|
|
|
perl-algorithm-evolutionary-0.82.1-r0.apk
|
79 KiB |
|
|
|
perl-algorithm-evolutionary-doc-0.82.1-r0.apk
|
148 KiB |
|
|
|
perl-algorithm-permute-0.17-r1.apk
|
13 KiB |
|
|
|
perl-algorithm-permute-doc-0.17-r1.apk
|
5.1 KiB |
|
|
|
perl-aliased-0.34-r4.apk
|
5.7 KiB |
|
|
|
perl-aliased-doc-0.34-r4.apk
|
5.7 KiB |
|
|
|
perl-alien-base-modulebuild-1.17-r0.apk
|
22 KiB |
|
|
|
perl-alien-base-modulebuild-doc-1.17-r0.apk
|
53 KiB |
|
|
|
perl-alien-libgumbo-0.05-r1.apk
|
578 KiB |
|
|
|
perl-alien-libgumbo-doc-0.05-r1.apk
|
4.8 KiB |
|
|
|
perl-anyevent-dns-etchosts-0.0105-r0.apk
|
5.1 KiB |
|
|
|
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk
|
4.0 KiB |
|
|
|
perl-anyevent-future-0.05-r0.apk
|
5.2 KiB |
|
|
|
perl-anyevent-future-doc-0.05-r0.apk
|
5.4 KiB |
|
|
|
perl-anyevent-riperedis-0.48-r0.apk
|
12 KiB |
|
|
|
perl-anyevent-riperedis-doc-0.48-r0.apk
|
10 KiB |
|
|
|
perl-archive-extract-0.88-r1.apk
|
16 KiB |
|
|
|
perl-archive-extract-doc-0.88-r1.apk
|
6.8 KiB |
|
|
|
perl-asa-1.04-r0.apk
|
4.3 KiB |
|
|
|
perl-asa-doc-1.04-r0.apk
|
5.0 KiB |
|
|
|
perl-autobox-3.0.2-r1.apk
|
19 KiB |
|
|
|
perl-autobox-doc-3.0.2-r1.apk
|
8.9 KiB |
|
|
|
perl-b-hooks-op-check-0.22-r1.apk
|
6.8 KiB |
|
|
|
perl-b-hooks-op-check-doc-0.22-r1.apk
|
3.8 KiB |
|
|
|
perl-b-utils-0.27-r1.apk
|
20 KiB |
|
|
|
perl-b-utils-doc-0.27-r1.apk
|
9.5 KiB |
|
|
|
perl-badger-0.16-r0.apk
|
253 KiB |
|
|
|
perl-badger-doc-0.16-r0.apk
|
260 KiB |
|
|
|
perl-barcode-zbar-0.10-r4.apk
|
31 KiB |
|
|
|
perl-barcode-zbar-doc-0.10-r4.apk
|
13 KiB |
|
|
|
perl-bareword-filehandles-0.007-r1.apk
|
6.1 KiB |
|
|
|
perl-bareword-filehandles-doc-0.007-r1.apk
|
3.2 KiB |
|
|
|
perl-bind-config-parser-0.01-r5.apk
|
3.9 KiB |
|
|
|
perl-bind-config-parser-doc-0.01-r5.apk
|
3.6 KiB |
|
|
|
perl-bsd-resource-1.2911-r11.apk
|
19 KiB |
|
|
|
perl-bsd-resource-doc-1.2911-r11.apk
|
7.9 KiB |
|
|
|
perl-bytes-random-secure-0.29-r0.apk
|
14 KiB |
|
|
|
perl-bytes-random-secure-doc-0.29-r0.apk
|
12 KiB |
|
|
|
perl-cache-lru-0.04-r0.apk
|
3.0 KiB |
|
|
|
perl-cache-lru-doc-0.04-r0.apk
|
3.2 KiB |
|
|
|
perl-cairo-1.109-r5.apk
|
82 KiB |
|
|
|
perl-cairo-doc-1.109-r5.apk
|
14 KiB |
|
|
|
perl-cairo-gobject-1.005-r5.apk
|
6.8 KiB |
|
|
|
perl-cairo-gobject-doc-1.005-r5.apk
|
3.0 KiB |
|
|
|
perl-carp-assert-more-2.9.0-r0.apk
|
9.0 KiB |
|
|
|
perl-carp-assert-more-doc-2.9.0-r0.apk
|
7.8 KiB |
|
|
|
perl-carp-repl-0.18-r0.apk
|
6.0 KiB |
|
|
|
perl-carp-repl-doc-0.18-r0.apk
|
5.9 KiB |
|
|
|
perl-catalyst-action-renderview-0.17-r0.apk
|
3.8 KiB |
|
|
|
perl-catalyst-action-renderview-doc-0.17-r0.apk
|
4.0 KiB |
|
|
|
perl-catalyst-action-rest-1.21-r0.apk
|
25 KiB |
|
|
|
perl-catalyst-action-rest-doc-1.21-r0.apk
|
27 KiB |
|
|
|
perl-catalyst-actionrole-acl-0.07-r0.apk
|
4.3 KiB |
|
|
|
perl-catalyst-actionrole-acl-doc-0.07-r0.apk
|
5.7 KiB |
|
|
|
perl-catalyst-authentication-credential-http-1.018-r0.apk
|
8.6 KiB |
|
|
|
perl-catalyst-authentication-credential-http-doc-1.018-r0.apk
|
6.8 KiB |
|
|
|
perl-catalyst-authentication-store-dbix-class-0.1506-r0.apk
|
13 KiB |
|
|
|
perl-catalyst-authentication-store-dbix-class-doc-0.1506-r0.apk
|
14 KiB |
|
|
|
perl-catalyst-component-instancepercontext-0.001001-r1.apk
|
2.7 KiB |
|
|
|
perl-catalyst-component-instancepercontext-doc-0.001001-r1.apk
|
3.3 KiB |
|
|
|
perl-catalyst-controller-actionrole-0.17-r0.apk
|
5.0 KiB |
|
|
|
perl-catalyst-controller-actionrole-doc-0.17-r0.apk
|
4.4 KiB |
|
|
|
perl-catalyst-devel-1.42-r0.apk
|
54 KiB |
|
|
|
perl-catalyst-devel-doc-1.42-r0.apk
|
11 KiB |
|
|
|
perl-catalyst-manual-5.9013-r0.apk
|
2.7 KiB |
|
|
|
perl-catalyst-manual-doc-5.9013-r0.apk
|
375 KiB |
|
|
|
perl-catalyst-model-adaptor-0.10-r0.apk
|
6.5 KiB |
|
|
|
perl-catalyst-model-adaptor-doc-0.10-r0.apk
|
12 KiB |
|
|
|
perl-catalyst-model-dbic-schema-0.66-r0.apk
|
19 KiB |
|
|
|
perl-catalyst-model-dbic-schema-doc-0.66-r0.apk
|
18 KiB |
|
|
|
perl-catalyst-plugin-authentication-0.10024-r0.apk
|
32 KiB |
|
|
|
perl-catalyst-plugin-authentication-doc-0.10024-r0.apk
|
56 KiB |
|
|
|
perl-catalyst-plugin-configloader-0.35-r0.apk
|
5.4 KiB |
|
|
|
perl-catalyst-plugin-configloader-doc-0.35-r0.apk
|
10 KiB |
|
|
|
perl-catalyst-plugin-i18n-0.10-r0.apk
|
4.1 KiB |
|
|
|
perl-catalyst-plugin-i18n-doc-0.10-r0.apk
|
13 KiB |
|
|
|
perl-catalyst-plugin-session-0.43-r0.apk
|
14 KiB |
|
|
|
perl-catalyst-plugin-session-doc-0.43-r0.apk
|
25 KiB |
|
|
|
perl-catalyst-plugin-session-state-cookie-0.18-r0.apk
|
4.9 KiB |
|
|
|
perl-catalyst-plugin-session-state-cookie-doc-0.18-r0.apk
|
4.8 KiB |
|
|
|
perl-catalyst-plugin-session-store-dbic-0.14-r0.apk
|
5.9 KiB |
|
|
|
perl-catalyst-plugin-session-store-dbic-doc-0.14-r0.apk
|
6.4 KiB |
|
|
|
perl-catalyst-plugin-session-store-delegate-0.06-r0.apk
|
4.5 KiB |
|
|
|
perl-catalyst-plugin-session-store-delegate-doc-0.06-r0.apk
|
4.5 KiB |
|
|
|
perl-catalyst-plugin-session-store-file-0.18-r0.apk
|
3.6 KiB |
|
|
|
perl-catalyst-plugin-session-store-file-doc-0.18-r0.apk
|
4.0 KiB |
|
|
|
perl-catalyst-plugin-stacktrace-0.12-r0.apk
|
4.7 KiB |
|
|
|
perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk
|
3.9 KiB |
|
|
|
perl-catalyst-plugin-static-simple-0.37-r0.apk
|
8.7 KiB |
|
|
|
perl-catalyst-plugin-static-simple-doc-0.37-r0.apk
|
7.4 KiB |
|
|
|
perl-catalyst-runtime-5.90132-r0.apk
|
150 KiB |
|
|
|
perl-catalyst-runtime-doc-5.90132-r0.apk
|
216 KiB |
|
|
|
perl-catalyst-view-email-0.36-r0.apk
|
9.1 KiB |
|
|
|
perl-catalyst-view-email-doc-0.36-r0.apk
|
11 KiB |
|
|
|
perl-catalyst-view-tt-0.46-r0.apk
|
14 KiB |
|
|
|
perl-catalyst-view-tt-doc-0.46-r0.apk
|
13 KiB |
|
|
|
perl-catalystx-component-traits-0.19-r0.apk
|
4.3 KiB |
|
|
|
perl-catalystx-component-traits-doc-0.19-r0.apk
|
4.0 KiB |
|
|
|
perl-catalystx-injectcomponent-0.025-r0.apk
|
3.4 KiB |
|
|
|
perl-catalystx-injectcomponent-doc-0.025-r0.apk
|
3.6 KiB |
|
|
|
perl-catalystx-leakchecker-0.06-r0.apk
|
3.4 KiB |
|
|
|
perl-catalystx-leakchecker-doc-0.06-r0.apk
|
3.5 KiB |
|
|
|
perl-catalystx-profile-0.02-r0.apk
|
3.1 KiB |
|
|
|
perl-catalystx-profile-doc-0.02-r0.apk
|
4.5 KiB |
|
|
|
perl-catalystx-repl-0.04-r0.apk
|
3.3 KiB |
|
|
|
perl-catalystx-repl-doc-0.04-r0.apk
|
3.6 KiB |
|
|
|
perl-catalystx-simplelogin-0.21-r0.apk
|
11 KiB |
|
|
|
perl-catalystx-simplelogin-doc-0.21-r0.apk
|
24 KiB |
|
|
|
perl-cgi-expand-2.05-r4.apk
|
6.9 KiB |
|
|
|
perl-cgi-expand-doc-2.05-r4.apk
|
6.2 KiB |
|
|
|
perl-cgi-simple-1.281-r0.apk
|
56 KiB |
|
|
|
perl-cgi-simple-doc-1.281-r0.apk
|
42 KiB |
|
|
|
perl-cgi-struct-1.21-r0.apk
|
7.8 KiB |
|
|
|
perl-cgi-struct-doc-1.21-r0.apk
|
6.8 KiB |
|
|
|
perl-check-unitcheck-0.13-r2.apk
|
6.2 KiB |
|
|
|
perl-check-unitcheck-doc-0.13-r2.apk
|
3.6 KiB |
|
|
|
perl-class-accessor-grouped-0.10014-r2.apk
|
12 KiB |
|
|
|
perl-class-accessor-grouped-doc-0.10014-r2.apk
|
7.5 KiB |
|
|
|
perl-class-c3-0.35-r1.apk
|
9.5 KiB |
|
|
|
perl-class-c3-adopt-next-0.14-r0.apk
|
5.1 KiB |
|
|
|
perl-class-c3-adopt-next-doc-0.14-r0.apk
|
4.7 KiB |
|
|
|
perl-class-c3-componentised-1.001002-r2.apk
|
5.5 KiB |
|
|
|
perl-class-c3-componentised-doc-1.001002-r2.apk
|
5.3 KiB |
|
|
|
perl-class-c3-doc-0.35-r1.apk
|
9.3 KiB |
|
|
|
perl-class-inner-0.200001-r5.apk
|
4.0 KiB |
|
|
|
perl-class-inner-doc-0.200001-r5.apk
|
4.1 KiB |
|
|
|
perl-class-unload-0.11-r0.apk
|
2.6 KiB |
|
|
|
perl-class-unload-doc-0.11-r0.apk
|
3.1 KiB |
|
|
|
perl-cli-osprey-0.08-r0.apk
|
13 KiB |
|
|
|
perl-cli-osprey-doc-0.08-r0.apk
|
12 KiB |
|
|
|
perl-clipboard-0.32-r0.apk
|
10 KiB |
|
|
|
perl-clipboard-doc-0.32-r0.apk
|
27 KiB |
|
|
|
perl-color-ansi-util-0.165-r0.apk
|
7.3 KiB |
|
|
|
perl-color-ansi-util-doc-0.165-r0.apk
|
5.2 KiB |
|
|
|
perl-color-rgb-util-0.609-r0.apk
|
9.5 KiB |
|
|
|
perl-color-rgb-util-doc-0.609-r0.apk
|
7.4 KiB |
|
|
|
perl-conf-libconfig-1.0.3-r2.apk
|
26 KiB |
|
|
|
perl-conf-libconfig-doc-1.0.3-r2.apk
|
5.5 KiB |
|
|
|
perl-constant-defer-6-r5.apk
|
7.4 KiB |
|
|
|
perl-constant-defer-doc-6-r5.apk
|
7.0 KiB |
|
|
|
perl-constant-generate-0.17-r5.apk
|
8.8 KiB |
|
|
|
perl-constant-generate-doc-0.17-r5.apk
|
7.0 KiB |
|
|
|
perl-context-preserve-0.03-r4.apk
|
3.9 KiB |
|
|
|
perl-context-preserve-doc-0.03-r4.apk
|
4.2 KiB |
|
|
|
perl-cpan-changes-0.500004-r0.apk
|
14 KiB |
|
|
|
perl-cpan-changes-doc-0.500004-r0.apk
|
18 KiB |
|
|
|
perl-crypt-blowfish-2.14-r1.apk
|
13 KiB |
|
|
|
perl-crypt-blowfish-doc-2.14-r1.apk
|
4.1 KiB |
|
|
|
perl-crypt-random-seed-0.03-r0.apk
|
11 KiB |
|
|
|
perl-crypt-random-seed-doc-0.03-r0.apk
|
8.8 KiB |
|
|
|
perl-crypt-saltedhash-0.09-r5.apk
|
7.0 KiB |
|
|
|
perl-crypt-saltedhash-doc-0.09-r5.apk
|
6.4 KiB |
|
|
|
perl-css-inliner-4027-r0.apk
|
16 KiB |
|
|
|
perl-css-inliner-doc-4027-r0.apk
|
9.4 KiB |
|
|
|
perl-css-object-0.2.0-r0.apk
|
23 KiB |
|
|
|
perl-css-object-doc-0.2.0-r0.apk
|
33 KiB |
|
|
|
perl-daemon-control-0.001010-r2.apk
|
12 KiB |
|
|
|
perl-daemon-control-doc-0.001010-r2.apk
|
8.3 KiB |
|
|
|
perl-dancer-plugin-auth-extensible-1.00-r5.apk
|
15 KiB |
|
|
|
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk
|
15 KiB |
|
|
|
perl-dancer-plugin-dbic-0.2104-r5.apk
|
4.9 KiB |
|
|
|
perl-dancer-plugin-dbic-doc-0.2104-r5.apk
|
5.4 KiB |
|
|
|
perl-dancer-plugin-passphrase-2.0.1-r4.apk
|
9.7 KiB |
|
|
|
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk
|
8.5 KiB |
|
|
|
perl-dancer-session-cookie-0.30-r2.apk
|
5.5 KiB |
|
|
|
perl-dancer-session-cookie-doc-0.30-r2.apk
|
4.2 KiB |
|
|
|
perl-dancer2-1.1.2-r0.apk
|
163 KiB |
|
|
|
perl-dancer2-doc-1.1.2-r0.apk
|
301 KiB |
|
|
|
perl-data-checks-0.10-r1.apk
|
24 KiB |
|
|
|
perl-data-checks-doc-0.10-r1.apk
|
8.3 KiB |
|
|
|
perl-data-clone-0.006-r1.apk
|
11 KiB |
|
|
|
perl-data-clone-doc-0.006-r1.apk
|
4.5 KiB |
|
|
|
perl-data-dump-streamer-2.42-r1.apk
|
51 KiB |
|
|
|
perl-data-dump-streamer-doc-2.42-r1.apk
|
17 KiB |
|
|
|
perl-data-validate-domain-0.15-r0.apk
|
5.8 KiB |
|
|
|
perl-data-validate-domain-doc-0.15-r0.apk
|
5.5 KiB |
|
|
|
perl-data-validate-ip-0.31-r1.apk
|
8.8 KiB |
|
|
|
perl-data-validate-ip-doc-0.31-r1.apk
|
5.9 KiB |
|
|
|
perl-data-visitor-0.32-r0.apk
|
9.8 KiB |
|
|
|
perl-data-visitor-doc-0.32-r0.apk
|
8.3 KiB |
|
|
|
perl-database-async-0.019-r0.apk
|
23 KiB |
|
|
|
perl-database-async-doc-0.019-r0.apk
|
29 KiB |
|
|
|
perl-database-async-engine-postgresql-1.005-r0.apk
|
14 KiB |
|
|
|
perl-database-async-engine-postgresql-doc-1.005-r0.apk
|
9.3 KiB |
|
|
|
perl-datetime-format-atom-1.8.0-r0.apk
|
3.1 KiB |
|
|
|
perl-datetime-format-atom-doc-1.8.0-r0.apk
|
3.8 KiB |
|
|
|
perl-datetime-format-flexible-0.37-r0.apk
|
18 KiB |
|
|
|
perl-datetime-format-flexible-doc-0.37-r0.apk
|
12 KiB |
|
|
|
perl-datetime-format-rfc3339-1.10.0-r0.apk
|
4.4 KiB |
|
|
|
perl-datetime-format-rfc3339-doc-1.10.0-r0.apk
|
4.1 KiB |
|
|
|
perl-datetime-timezone-alias-0.06-r0.apk
|
2.5 KiB |
|
|
|
perl-datetime-timezone-alias-doc-0.06-r0.apk
|
7.6 KiB |
|
|
|
perl-datetime-timezone-catalog-extend-0.3.3-r0.apk
|
12 KiB |
|
|
|
perl-datetime-timezone-catalog-extend-doc-0.3.3-r0.apk
|
15 KiB |
|
|
|
perl-dbicx-sugar-0.0200-r5.apk
|
5.9 KiB |
|
|
|
perl-dbicx-sugar-doc-0.0200-r5.apk
|
5.3 KiB |
|
|
|
perl-dbix-class-0.082844-r0.apk
|
356 KiB |
|
|
|
perl-dbix-class-candy-0.005004-r0.apk
|
7.9 KiB |
|
|
|
perl-dbix-class-candy-doc-0.005004-r0.apk
|
9.5 KiB |
|
|
|
perl-dbix-class-cursor-cached-1.001004-r0.apk
|
3.2 KiB |
|
|
|
perl-dbix-class-cursor-cached-doc-1.001004-r0.apk
|
3.0 KiB |
|
|
|
perl-dbix-class-doc-0.082844-r0.apk
|
420 KiB |
|
|
|
perl-dbix-class-helpers-2.037000-r0.apk
|
48 KiB |
|
|
|
perl-dbix-class-helpers-doc-2.037000-r0.apk
|
121 KiB |
|
|
|
perl-dbix-class-schema-loader-0.07053-r0.apk
|
97 KiB |
|
|
|
perl-dbix-class-schema-loader-doc-0.07053-r0.apk
|
77 KiB |
|
|
|
perl-dbix-connector-0.60-r0.apk
|
15 KiB |
|
|
|
perl-dbix-connector-doc-0.60-r0.apk
|
22 KiB |
|
|
|
perl-dbix-datasource-0.02-r5.apk
|
4.3 KiB |
|
|
|
perl-dbix-datasource-doc-0.02-r5.apk
|
7.5 KiB |
|
|
|
perl-dbix-introspector-0.001005-r4.apk
|
8.1 KiB |
|
|
|
perl-dbix-introspector-doc-0.001005-r4.apk
|
8.5 KiB |
|
|
|
perl-dbix-lite-0.36-r0.apk
|
18 KiB |
|
|
|
perl-dbix-lite-doc-0.36-r0.apk
|
18 KiB |
|
|
|
perl-devel-confess-0.009004-r0.apk
|
11 KiB |
|
|
|
perl-devel-confess-doc-0.009004-r0.apk
|
6.7 KiB |
|
|
|
perl-devel-findperl-0.016-r0.apk
|
4.7 KiB |
|
|
|
perl-devel-findperl-doc-0.016-r0.apk
|
3.8 KiB |
|
|
|
perl-devel-leak-0.03-r14.apk
|
7.2 KiB |
|
|
|
perl-devel-leak-doc-0.03-r14.apk
|
3.3 KiB |
|
|
|
perl-devel-nytprof-6.14-r1.apk
|
401 KiB |
|
|
|
perl-devel-nytprof-doc-6.14-r1.apk
|
50 KiB |
|
|
|
perl-devel-refcount-0.10-r2.apk
|
6.3 KiB |
|
|
|
perl-devel-refcount-doc-0.10-r2.apk
|
4.3 KiB |
|
|
|
perl-devel-repl-1.003029-r0.apk
|
28 KiB |
|
|
|
perl-devel-repl-doc-1.003029-r0.apk
|
60 KiB |
|
|
|
perl-devel-stacktrace-withlexicals-2.01-r0.apk
|
3.7 KiB |
|
|
|
perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk
|
3.4 KiB |
|
|
|
perl-digest-bcrypt-1.212-r1.apk
|
5.6 KiB |
|
|
|
perl-digest-bcrypt-doc-1.212-r1.apk
|
5.2 KiB |
|
|
|
perl-digest-crc-0.24-r2.apk
|
10 KiB |
|
|
|
perl-digest-crc-doc-0.24-r2.apk
|
3.3 KiB |
|
|
|
perl-dns-unbound-0.29-r2.apk
|
24 KiB |
|
|
|
perl-dns-unbound-anyevent-0.29-r2.apk
|
2.3 KiB |
|
|
|
perl-dns-unbound-asyncquery-promisexs-0.29-r2.apk
|
1.9 KiB |
|
|
|
perl-dns-unbound-doc-0.29-r2.apk
|
15 KiB |
|
|
|
perl-dns-unbound-ioasync-0.29-r2.apk
|
2.4 KiB |
|
|
|
perl-dns-unbound-mojo-0.29-r2.apk
|
2.7 KiB |
|
|
|
perl-email-abstract-3.010-r0.apk
|
7.7 KiB |
|
|
|
perl-email-abstract-doc-3.010-r0.apk
|
13 KiB |
|
|
|
perl-email-mime-attachment-stripper-1.317-r5.apk
|
4.0 KiB |
|
|
|
perl-email-mime-attachment-stripper-doc-1.317-r5.apk
|
3.8 KiB |
|
|
|
perl-email-reply-1.204-r5.apk
|
6.1 KiB |
|
|
|
perl-email-reply-doc-1.204-r5.apk
|
4.8 KiB |
|
|
|
perl-email-sender-2.601-r0.apk
|
25 KiB |
|
|
|
perl-email-sender-doc-2.601-r0.apk
|
42 KiB |
|
|
|
perl-encode-detect-1.01-r1.apk
|
73 KiB |
|
|
|
perl-encode-detect-doc-1.01-r1.apk
|
4.8 KiB |
|
|
|
perl-ev-hiredis-0.07-r3.apk
|
14 KiB |
|
|
|
perl-ev-hiredis-doc-0.07-r3.apk
|
4.2 KiB |
|
|
|
perl-expect-1.38-r0.apk
|
32 KiB |
|
|
|
perl-expect-doc-1.38-r0.apk
|
20 KiB |
|
|
|
perl-expect-simple-0.04-r0.apk
|
5.5 KiB |
|
|
|
perl-expect-simple-doc-0.04-r0.apk
|
4.9 KiB |
|
|
|
perl-extutils-makemaker-7.70-r2.apk
|
175 KiB |
|
|
|
perl-extutils-xsbuilder-0.28-r5.apk
|
43 KiB |
|
|
|
perl-extutils-xsbuilder-doc-0.28-r5.apk
|
21 KiB |
|
|
|
perl-feed-find-0.13-r0.apk
|
3.9 KiB |
|
|
|
perl-feed-find-doc-0.13-r0.apk
|
3.7 KiB |
|
|
|
perl-ffi-c-0.15-r0.apk
|
20 KiB |
|
|
|
perl-ffi-c-doc-0.15-r0.apk
|
29 KiB |
|
|
|
perl-ffi-platypus-2.10-r1.apk
|
182 KiB |
|
|
|
perl-ffi-platypus-doc-2.10-r1.apk
|
148 KiB |
|
|
|
perl-ffi-platypus-type-enum-0.06-r0.apk
|
5.2 KiB |
|
|
|
perl-ffi-platypus-type-enum-doc-0.06-r0.apk
|
5.2 KiB |
|
|
|
perl-file-changenotify-0.31-r0.apk
|
12 KiB |
|
|
|
perl-file-changenotify-doc-0.31-r0.apk
|
14 KiB |
|
|
|
perl-file-mmagic-xs-0.09008-r5.apk
|
31 KiB |
|
|
|
perl-file-mmagic-xs-doc-0.09008-r5.apk
|
4.3 KiB |
|
|
|
perl-file-rename-2.02-r0.apk
|
7.5 KiB |
|
|
|
perl-file-rename-doc-2.02-r0.apk
|
12 KiB |
|
|
|
perl-flowd-0.9.1-r11.apk
|
22 KiB |
|
|
|
perl-flowd-doc-0.9.1-r11.apk
|
3.3 KiB |
|
|
|
perl-freezethaw-0.5001-r2.apk
|
9.8 KiB |
|
|
|
perl-freezethaw-doc-0.5001-r2.apk
|
5.7 KiB |
|
|
|
perl-full-1.004-r0.apk
|
7.1 KiB |
|
|
|
perl-full-doc-1.004-r0.apk
|
10 KiB |
|
|
|
perl-future-asyncawait-hooks-0.02-r1.apk
|
8.5 KiB |
|
|
|
perl-future-asyncawait-hooks-doc-0.02-r1.apk
|
3.3 KiB |
|
|
|
perl-future-http-0.17-r0.apk
|
9.2 KiB |
|
|
|
perl-future-http-doc-0.17-r0.apk
|
16 KiB |
|
|
|
perl-future-q-0.120-r0.apk
|
9.6 KiB |
|
|
|
perl-future-q-doc-0.120-r0.apk
|
9.1 KiB |
|
|
|
perl-future-queue-0.52-r0.apk
|
4.1 KiB |
|
|
|
perl-future-queue-doc-0.52-r0.apk
|
4.3 KiB |
|
|
|
perl-gearman-2.004.015-r3.apk
|
28 KiB |
|
|
|
perl-gearman-doc-2.004.015-r3.apk
|
20 KiB |
|
|
|
perl-getopt-long-descriptive-0.116-r0.apk
|
15 KiB |
|
|
|
perl-getopt-long-descriptive-doc-0.116-r0.apk
|
11 KiB |
|
|
|
perl-getopt-tabular-0.3-r4.apk
|
23 KiB |
|
|
|
perl-getopt-tabular-doc-0.3-r4.apk
|
17 KiB |
|
|
|
perl-git-raw-0.90-r4.apk
|
181 KiB |
|
|
|
perl-git-raw-doc-0.90-r4.apk
|
119 KiB |
|
|
|
perl-git-repository-1.325-r0.apk
|
16 KiB |
|
|
|
perl-git-repository-doc-1.325-r0.apk
|
32 KiB |
|
|
|
perl-git-version-compare-1.005-r0.apk
|
5.4 KiB |
|
|
|
perl-git-version-compare-doc-1.005-r0.apk
|
4.9 KiB |
|
|
|
perl-glib-ex-objectbits-17-r0.apk
|
15 KiB |
|
|
|
perl-glib-ex-objectbits-doc-17-r0.apk
|
22 KiB |
|
|
|
perl-glib-object-introspection-0.051-r2.apk
|
64 KiB |
|
|
|
perl-glib-object-introspection-doc-0.051-r2.apk
|
11 KiB |
|
|
|
perl-graphql-client-0.605-r0.apk
|
7.1 KiB |
|
|
|
perl-graphql-client-cli-0.605-r0.apk
|
7.8 KiB |
|
|
|
perl-graphql-client-doc-0.605-r0.apk
|
14 KiB |
|
|
|
perl-gtk2-1.24993-r7.apk
|
922 KiB |
|
|
|
perl-gtk2-doc-1.24993-r7.apk
|
679 KiB |
|
|
|
perl-gtk2-ex-listmodelconcat-11-r4.apk
|
13 KiB |
|
|
|
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk
|
7.3 KiB |
|
|
|
perl-gtk2-ex-widgetbits-48-r3.apk
|
66 KiB |
|
|
|
perl-gtk2-ex-widgetbits-doc-48-r3.apk
|
81 KiB |
|
|
|
perl-gtk3-0.038-r1.apk
|
20 KiB |
|
|
|
perl-gtk3-doc-0.038-r1.apk
|
9.2 KiB |
|
|
|
perl-guard-1.023-r10.apk
|
8.9 KiB |
|
|
|
perl-guard-doc-1.023-r10.apk
|
5.3 KiB |
|
|
|
perl-hash-merge-extra-0.06-r0.apk
|
3.1 KiB |
|
|
|
perl-hash-merge-extra-doc-0.06-r0.apk
|
3.4 KiB |
|
|
|
perl-hash-ordered-0.014-r0.apk
|
9.8 KiB |
|
|
|
perl-hash-ordered-doc-0.014-r0.apk
|
19 KiB |
|
|
|
perl-html-formatexternal-26-r0.apk
|
17 KiB |
|
|
|
perl-html-formatexternal-doc-26-r0.apk
|
23 KiB |
|
|
|
perl-html-formhandler-0.40068-r0.apk
|
136 KiB |
|
|
|
perl-html-formhandler-doc-0.40068-r0.apk
|
323 KiB |
|
|
|
perl-html-gumbo-0.18-r2.apk
|
15 KiB |
|
|
|
perl-html-gumbo-doc-0.18-r2.apk
|
5.4 KiB |
|
|
|
perl-html-object-0.5.1-r0.apk
|
348 KiB |
|
|
|
perl-html-object-doc-0.5.1-r0.apk
|
472 KiB |
|
|
|
perl-html-query-0.09-r0.apk
|
14 KiB |
|
|
|
perl-html-query-doc-0.09-r0.apk
|
10 KiB |
|
|
|
perl-html-selector-xpath-0.28-r0.apk
|
6.2 KiB |
|
|
|
perl-html-selector-xpath-doc-0.28-r0.apk
|
4.1 KiB |
|
|
|
perl-html-tableextract-2.15-r4.apk
|
18 KiB |
|
|
|
perl-html-tableextract-doc-2.15-r4.apk
|
9.9 KiB |
|
|
|
perl-http-headers-actionpack-0.09-r0.apk
|
18 KiB |
|
|
|
perl-http-headers-actionpack-doc-0.09-r0.apk
|
40 KiB |
|
|
|
perl-http-thin-0.006-r0.apk
|
3.1 KiB |
|
|
|
perl-http-thin-doc-0.006-r0.apk
|
3.5 KiB |
|
|
|
perl-http-xsheaders-0.400005-r2.apk
|
21 KiB |
|
|
|
perl-http-xsheaders-doc-0.400005-r2.apk
|
6.4 KiB |
|
|
|
perl-i18n-langinfo-wide-9-r4.apk
|
4.2 KiB |
|
|
|
perl-i18n-langinfo-wide-doc-9-r4.apk
|
4.1 KiB |
|
|
|
perl-imager-1.028-r1.apk
|
550 KiB |
|
|
|
perl-imager-doc-1.028-r1.apk
|
286 KiB |
|
|
|
perl-indirect-0.39-r2.apk
|
17 KiB |
|
|
|
perl-indirect-doc-0.39-r2.apk
|
6.4 KiB |
|
|
|
perl-io-handle-util-0.02-r0.apk
|
11 KiB |
|
|
|
perl-io-handle-util-doc-0.02-r0.apk
|
9.8 KiB |
|
|
|
perl-io-lambda-1.34-r0.apk
|
76 KiB |
|
|
|
perl-io-lambda-doc-1.34-r0.apk
|
68 KiB |
|
|
|
perl-io-sessiondata-1.03-r3.apk
|
5.8 KiB |
|
|
|
perl-json-maybeutf8-2.000-r0.apk
|
3.1 KiB |
|
|
|
perl-json-maybeutf8-doc-2.000-r0.apk
|
3.6 KiB |
|
|
|
perl-json-validator-5.15-r0.apk
|
58 KiB |
|
|
|
perl-json-validator-doc-5.15-r0.apk
|
34 KiB |
|
|
|
perl-lexical-persistence-1.023-r0.apk
|
7.5 KiB |
|
|
|
perl-lexical-persistence-doc-1.023-r0.apk
|
7.6 KiB |
|
|
|
perl-lib-abs-0.95-r0.apk
|
3.9 KiB |
|
|
|
perl-lib-abs-doc-0.95-r0.apk
|
4.0 KiB |
|
|
|
perl-libapreq2-2.17-r3.apk
|
105 KiB |
|
|
|
perl-libapreq2-dev-2.17-r3.apk
|
56 KiB |
|
|
|
perl-libapreq2-doc-2.17-r3.apk
|
37 KiB |
|
|
|
perl-libintl-perl-1.35-r0.apk
|
305 KiB |
|
|
|
perl-libintl-perl-doc-1.35-r0.apk
|
571 KiB |
|
|
|
perl-lingua-en-findnumber-1.32-r0.apk
|
3.3 KiB |
|
|
|
perl-lingua-en-findnumber-doc-1.32-r0.apk
|
3.5 KiB |
|
|
|
perl-lingua-en-inflect-number-1.12-r0.apk
|
3.2 KiB |
|
|
|
perl-lingua-en-inflect-number-doc-1.12-r0.apk
|
3.6 KiB |
|
|
|
perl-lingua-en-inflect-phrase-0.20-r0.apk
|
5.3 KiB |
|
|
|
perl-lingua-en-inflect-phrase-doc-0.20-r0.apk
|
3.9 KiB |
|
|
|
perl-lingua-en-number-isordinal-0.05-r0.apk
|
3.1 KiB |
|
|
|
perl-lingua-en-number-isordinal-doc-0.05-r0.apk
|
3.4 KiB |
|
|
|
perl-lingua-en-tagger-0.31-r0.apk
|
546 KiB |
|
|
|
perl-lingua-en-tagger-doc-0.31-r0.apk
|
4.5 KiB |
|
|
|
perl-lingua-en-words2nums-0.18-r0.apk
|
4.6 KiB |
|
|
|
perl-lingua-en-words2nums-doc-0.18-r0.apk
|
3.5 KiB |
|
|
|
perl-lingua-pt-stemmer-0.02-r0.apk
|
5.4 KiB |
|
|
|
perl-lingua-pt-stemmer-doc-0.02-r0.apk
|
4.3 KiB |
|
|
|
perl-lingua-stem-2.31-r0.apk
|
12 KiB |
|
|
|
perl-lingua-stem-doc-2.31-r0.apk
|
34 KiB |
|
|
|
perl-lingua-stem-fr-0.02-r0.apk
|
6.1 KiB |
|
|
|
perl-lingua-stem-fr-doc-0.02-r0.apk
|
3.9 KiB |
|
|
|
perl-lingua-stem-it-0.02-r0.apk
|
5.2 KiB |
|
|
|
perl-lingua-stem-it-doc-0.02-r0.apk
|
3.5 KiB |
|
|
|
perl-lingua-stem-ru-0.04-r0.apk
|
4.1 KiB |
|
|
|
perl-lingua-stem-ru-doc-0.04-r0.apk
|
3.7 KiB |
|
|
|
perl-lingua-stem-snowball-da-1.01-r0.apk
|
4.3 KiB |
|
|
|
perl-lingua-stem-snowball-da-doc-1.01-r0.apk
|
3.0 KiB |
|
|
|
perl-linux-pid-0.04-r15.apk
|
4.9 KiB |
|
|
|
perl-linux-pid-doc-0.04-r15.apk
|
3.1 KiB |
|
|
|
perl-list-binarysearch-0.25-r0.apk
|
10 KiB |
|
|
|
perl-list-binarysearch-doc-0.25-r0.apk
|
12 KiB |
|
|
|
perl-list-binarysearch-xs-0.09-r2.apk
|
13 KiB |
|
|
|
perl-list-binarysearch-xs-doc-0.09-r2.apk
|
8.1 KiB |
|
|
|
perl-list-keywords-0.11-r1.apk
|
15 KiB |
|
|
|
perl-list-keywords-doc-0.11-r1.apk
|
5.6 KiB |
|
|
|
perl-log-fu-0.31-r4.apk
|
10 KiB |
|
|
|
perl-log-fu-doc-0.31-r4.apk
|
7.3 KiB |
|
|
|
perl-log-message-0.08-r3.apk
|
11 KiB |
|
|
|
perl-log-message-doc-0.08-r3.apk
|
12 KiB |
|
|
|
perl-log-message-simple-0.10-r3.apk
|
4.2 KiB |
|
|
|
perl-log-message-simple-doc-0.10-r3.apk
|
4.0 KiB |
|
|
|
perl-lwp-online-1.08-r0.apk
|
6.2 KiB |
|
|
|
perl-lwp-online-doc-1.08-r0.apk
|
5.7 KiB |
|
|
|
perl-lwp-useragent-cached-0.08-r1.apk
|
6.4 KiB |
|
|
|
perl-lwp-useragent-cached-doc-0.08-r1.apk
|
5.7 KiB |
|
|
|
perl-mastodon-client-0.017-r0.apk
|
22 KiB |
|
|
|
perl-mastodon-client-doc-0.017-r0.apk
|
33 KiB |
|
|
|
perl-math-int64-0.57-r2.apk
|
35 KiB |
|
|
|
perl-math-int64-doc-0.57-r2.apk
|
10 KiB |
|
|
|
perl-math-libm-1.00-r15.apk
|
11 KiB |
|
|
|
perl-math-libm-doc-1.00-r15.apk
|
3.2 KiB |
|
|
|
perl-math-random-0.72-r1.apk
|
38 KiB |
|
|
|
perl-math-random-doc-0.72-r1.apk
|
11 KiB |
|
|
|
perl-math-random-isaac-xs-1.004-r9.apk
|
8.0 KiB |
|
|
|
perl-math-random-isaac-xs-doc-1.004-r9.apk
|
3.8 KiB |
|
|
|
perl-mce-1.901-r0.apk
|
134 KiB |
|
|
|
perl-mce-doc-1.901-r0.apk
|
170 KiB |
|
|
|
perl-memoize-expirelru-0.56-r0.apk
|
6.2 KiB |
|
|
|
perl-memoize-expirelru-doc-0.56-r0.apk
|
3.8 KiB |
|
|
|
perl-minion-10.31-r0.apk
|
1.5 MiB |
|
|
|
perl-minion-backend-pg-10.31-r0.apk
|
9.6 KiB |
|
|
|
perl-minion-backend-redis-0.003-r0.apk
|
10 KiB |
|
|
|
perl-minion-backend-redis-doc-0.003-r0.apk
|
6.6 KiB |
|
|
|
perl-minion-backend-sqlite-5.0.7-r0.apk
|
10 KiB |
|
|
|
perl-minion-backend-sqlite-doc-5.0.7-r0.apk
|
6.8 KiB |
|
|
|
perl-minion-doc-10.31-r0.apk
|
49 KiB |
|
|
|
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk
|
3.4 KiB |
|
|
|
perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk
|
3.8 KiB |
|
|
|
perl-module-generic-0.43.3-r0.apk
|
272 KiB |
|
|
|
perl-module-generic-doc-0.43.3-r0.apk
|
214 KiB |
|
|
|
perl-module-path-0.19-r0.apk
|
4.7 KiB |
|
|
|
perl-module-path-doc-0.19-r0.apk
|
5.8 KiB |
|
|
|
perl-mojo-reactor-ioasync-1.002-r0.apk
|
4.7 KiB |
|
|
|
perl-mojo-reactor-ioasync-doc-1.002-r0.apk
|
4.4 KiB |
|
|
|
perl-mojo-redis-3.29-r0.apk
|
25 KiB |
|
|
|
perl-mojo-redis-doc-3.29-r0.apk
|
25 KiB |
|
|
|
perl-mojo-sqlite-3.009-r0.apk
|
16 KiB |
|
|
|
perl-mojo-sqlite-doc-3.009-r0.apk
|
19 KiB |
|
|
|
perl-mojolicious-plugin-openapi-5.11-r0.apk
|
28 KiB |
|
|
|
perl-mojolicious-plugin-openapi-doc-5.11-r0.apk
|
34 KiB |
|
|
|
perl-moosex-configfromfile-0.14-r0.apk
|
4.1 KiB |
|
|
|
perl-moosex-configfromfile-doc-0.14-r0.apk
|
4.2 KiB |
|
|
|
perl-moosex-emulate-class-accessor-fast-0.009032-r0.apk
|
5.4 KiB |
|
|
|
perl-moosex-emulate-class-accessor-fast-doc-0.009032-r0.apk
|
5.3 KiB |
|
|
|
perl-moosex-getopt-0.78-r0.apk
|
14 KiB |
|
|
|
perl-moosex-getopt-doc-0.78-r0.apk
|
25 KiB |
|
|
|
perl-moosex-markasmethods-0.15-r0.apk
|
5.1 KiB |
|
|
|
perl-moosex-markasmethods-doc-0.15-r0.apk
|
5.2 KiB |
|
|
|
perl-moosex-methodattributes-0.32-r0.apk
|
8.6 KiB |
|
|
|
perl-moosex-methodattributes-doc-0.32-r0.apk
|
22 KiB |
|
|
|
perl-moosex-nonmoose-0.27-r1.apk
|
8.2 KiB |
|
|
|
perl-moosex-nonmoose-doc-0.27-r1.apk
|
9.4 KiB |
|
|
|
perl-moosex-object-pluggable-0.0014-r0.apk
|
6.0 KiB |
|
|
|
perl-moosex-object-pluggable-doc-0.0014-r0.apk
|
5.5 KiB |
|
|
|
perl-moosex-relatedclassroles-0.004-r0.apk
|
2.8 KiB |
|
|
|
perl-moosex-relatedclassroles-doc-0.004-r0.apk
|
3.3 KiB |
|
|
|
perl-moosex-role-parameterized-1.11-r0.apk
|
7.7 KiB |
|
|
|
perl-moosex-role-parameterized-doc-1.11-r0.apk
|
24 KiB |
|
|
|
perl-moosex-simpleconfig-0.11-r0.apk
|
3.8 KiB |
|
|
|
perl-moosex-simpleconfig-doc-0.11-r0.apk
|
4.2 KiB |
|
|
|
perl-moosex-traits-pluggable-0.12-r0.apk
|
4.9 KiB |
|
|
|
perl-moosex-traits-pluggable-doc-0.12-r0.apk
|
4.2 KiB |
|
|
|
perl-moosex-types-loadableclass-0.016-r0.apk
|
3.2 KiB |
|
|
|
perl-moosex-types-loadableclass-doc-0.016-r0.apk
|
3.7 KiB |
|
|
|
perl-moosex-types-path-tiny-0.012-r0.apk
|
4.0 KiB |
|
|
|
perl-moosex-types-path-tiny-doc-0.012-r0.apk
|
4.1 KiB |
|
|
|
perl-moosex-types-stringlike-0.003-r0.apk
|
3.0 KiB |
|
|
|
perl-moosex-types-stringlike-doc-0.003-r0.apk
|
3.6 KiB |
|
|
|
perl-moox-typetiny-0.002003-r0.apk
|
3.5 KiB |
|
|
|
perl-moox-typetiny-doc-0.002003-r0.apk
|
3.2 KiB |
|
|
|
perl-multidimensional-0.014-r1.apk
|
4.9 KiB |
|
|
|
perl-multidimensional-doc-0.014-r1.apk
|
3.2 KiB |
|
|
|
perl-musicbrainz-discid-0.06-r2.apk
|
9.5 KiB |
|
|
|
perl-musicbrainz-discid-doc-0.06-r2.apk
|
4.4 KiB |
|
|
|
perl-net-address-ip-local-0.1.2-r0.apk
|
3.5 KiB |
|
|
|
perl-net-address-ip-local-doc-0.1.2-r0.apk
|
3.5 KiB |
|
|
|
perl-net-amqp-rabbitmq-2.40012-r1.apk
|
84 KiB |
|
|
|
perl-net-amqp-rabbitmq-doc-2.40012-r1.apk
|
9.8 KiB |
|
|
|
perl-net-async-redis-6.006-r0.apk
|
58 KiB |
|
|
|
perl-net-async-redis-doc-6.006-r0.apk
|
66 KiB |
|
|
|
perl-net-async-redis-xs-1.001-r2.apk
|
9.8 KiB |
|
|
|
perl-net-async-redis-xs-doc-1.001-r2.apk
|
5.4 KiB |
|
|
|
perl-net-curl-0.57-r1.apk
|
62 KiB |
|
|
|
perl-net-curl-doc-0.57-r1.apk
|
39 KiB |
|
|
|
perl-net-curl-promiser-0.20-r0.apk
|
8.9 KiB |
|
|
|
perl-net-curl-promiser-anyevent-0.20-r0.apk
|
2.7 KiB |
|
|
|
perl-net-curl-promiser-doc-0.20-r0.apk
|
12 KiB |
|
|
|
perl-net-curl-promiser-ioasync-0.20-r0.apk
|
3.0 KiB |
|
|
|
perl-net-curl-promiser-mojo-0.20-r0.apk
|
3.1 KiB |
|
|
|
perl-net-idn-encode-2.500-r2.apk
|
84 KiB |
|
|
|
perl-net-idn-encode-doc-2.500-r2.apk
|
22 KiB |
|
|
|
perl-net-irr-0.10-r0.apk
|
5.5 KiB |
|
|
|
perl-net-irr-doc-0.10-r0.apk
|
5.2 KiB |
|
|
|
perl-net-jabber-2.0-r0.apk
|
51 KiB |
|
|
|
perl-net-jabber-bot-2.1.7-r0.apk
|
14 KiB |
|
|
|
perl-net-jabber-bot-doc-2.1.7-r0.apk
|
7.6 KiB |
|
|
|
perl-net-jabber-doc-2.0-r0.apk
|
48 KiB |
|
|
|
perl-net-netmask-2.0003-r0.apk
|
14 KiB |
|
|
|
perl-net-netmask-doc-2.0003-r0.apk
|
8.5 KiB |
|
|
|
perl-net-patricia-1.22-r13.apk
|
22 KiB |
|
|
|
perl-net-patricia-doc-1.22-r13.apk
|
6.1 KiB |
|
|
|
perl-net-xmpp-1.05-r0.apk
|
58 KiB |
|
|
|
perl-net-xmpp-doc-1.05-r0.apk
|
44 KiB |
|
|
|
perl-netaddr-mac-0.98-r1.apk
|
11 KiB |
|
|
|
perl-netaddr-mac-doc-0.98-r1.apk
|
8.0 KiB |
|
|
|
perl-nice-try-1.3.16-r0.apk
|
28 KiB |
|
|
|
perl-nice-try-doc-1.3.16-r0.apk
|
12 KiB |
|
|
|
perl-number-format-1.76-r1.apk
|
15 KiB |
|
|
|
perl-number-format-doc-1.76-r1.apk
|
9.0 KiB |
|
|
|
perl-number-misc-1.2-r5.apk
|
5.2 KiB |
|
|
|
perl-number-misc-doc-1.2-r5.apk
|
4.4 KiB |
|
|
|
perl-number-tolerant-1.710-r0.apk
|
15 KiB |
|
|
|
perl-number-tolerant-doc-1.710-r0.apk
|
26 KiB |
|
|
|
perl-object-array-0.060-r0.apk
|
5.7 KiB |
|
|
|
perl-object-array-doc-0.060-r0.apk
|
7.0 KiB |
|
|
|
perl-object-pad-fieldattr-checked-0.12-r1.apk
|
8.9 KiB |
|
|
|
perl-object-pad-fieldattr-checked-doc-0.12-r1.apk
|
4.5 KiB |
|
|
|
perl-object-signature-1.08-r0.apk
|
3.7 KiB |
|
|
|
perl-object-signature-doc-1.08-r0.apk
|
5.4 KiB |
|
|
|
perl-openapi-client-1.07-r0.apk
|
8.6 KiB |
|
|
|
perl-openapi-client-doc-1.07-r0.apk
|
7.4 KiB |
|
|
|
perl-opentracing-1.006-r0.apk
|
18 KiB |
|
|
|
perl-opentracing-doc-1.006-r0.apk
|
33 KiB |
|
|
|
perl-pango-1.227-r12.apk
|
85 KiB |
|
|
|
perl-pango-doc-1.227-r12.apk
|
82 KiB |
|
|
|
perl-path-dispatcher-1.08-r0.apk
|
14 KiB |
|
|
|
perl-path-dispatcher-doc-1.08-r0.apk
|
38 KiB |
|
|
|
perl-path-iter-0.2-r3.apk
|
5.2 KiB |
|
|
|
perl-path-iter-doc-0.2-r3.apk
|
5.2 KiB |
|
|
|
perl-perlio-locale-0.10-r13.apk
|
4.6 KiB |
|
|
|
perl-perlio-locale-doc-0.10-r13.apk
|
3.1 KiB |
|
|
|
perl-plack-middleware-expires-0.06-r3.apk
|
3.9 KiB |
|
|
|
perl-plack-middleware-expires-doc-0.06-r3.apk
|
3.4 KiB |
|
|
|
perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk
|
3.1 KiB |
|
|
|
perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk
|
3.1 KiB |
|
|
|
perl-plack-middleware-methodoverride-0.20-r0.apk
|
3.6 KiB |
|
|
|
perl-plack-middleware-methodoverride-doc-0.20-r0.apk
|
4.0 KiB |
|
|
|
perl-plack-middleware-removeredundantbody-0.09-r0.apk
|
2.5 KiB |
|
|
|
perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk
|
3.1 KiB |
|
|
|
perl-plack-middleware-reverseproxy-0.16-r2.apk
|
3.2 KiB |
|
|
|
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk
|
3.1 KiB |
|
|
|
perl-plack-test-externalserver-0.02-r0.apk
|
2.8 KiB |
|
|
|
perl-plack-test-externalserver-doc-0.02-r0.apk
|
3.1 KiB |
|
|
|
perl-pod-cpandoc-0.16-r6.apk
|
4.6 KiB |
|
|
|
perl-pod-cpandoc-doc-0.16-r6.apk
|
4.9 KiB |
|
|
|
perl-pod-tidy-0.10-r1.apk
|
10 KiB |
|
|
|
perl-pod-tidy-doc-0.10-r1.apk
|
11 KiB |
|
|
|
perl-ppi-xs-0.910-r2.apk
|
5.9 KiB |
|
|
|
perl-ppi-xs-doc-0.910-r2.apk
|
3.4 KiB |
|
|
|
perl-proc-guard-0.07-r4.apk
|
3.7 KiB |
|
|
|
perl-proc-guard-doc-0.07-r4.apk
|
3.5 KiB |
|
|
|
perl-promise-es6-0.28-r0.apk
|
11 KiB |
|
|
|
perl-promise-es6-anyevent-0.28-r0.apk
|
2.5 KiB |
|
|
|
perl-promise-es6-doc-0.28-r0.apk
|
12 KiB |
|
|
|
perl-promise-es6-future-0.28-r0.apk
|
2.3 KiB |
|
|
|
perl-promise-es6-io-async-0.28-r0.apk
|
3.0 KiB |
|
|
|
perl-promise-es6-mojo-ioloop-0.28-r0.apk
|
2.6 KiB |
|
|
|
perl-promise-me-0.5.0-r0.apk
|
26 KiB |
|
|
|
perl-promise-me-doc-0.5.0-r0.apk
|
12 KiB |
|
|
|
perl-promise-xs-0.20-r2.apk
|
26 KiB |
|
|
|
perl-promise-xs-doc-0.20-r2.apk
|
8.8 KiB |
|
|
|
perl-protocol-database-postgresql-2.001-r0.apk
|
19 KiB |
|
|
|
perl-protocol-database-postgresql-doc-2.001-r0.apk
|
36 KiB |
|
|
|
perl-protocol-redis-1.0021-r0.apk
|
5.6 KiB |
|
|
|
perl-protocol-redis-doc-1.0021-r0.apk
|
5.0 KiB |
|
|
|
perl-protocol-redis-faster-0.003-r0.apk
|
3.4 KiB |
|
|
|
perl-protocol-redis-faster-doc-0.003-r0.apk
|
3.3 KiB |
|
|
|
perl-ref-util-xs-0.117-r9.apk
|
11 KiB |
|
|
|
perl-ref-util-xs-doc-0.117-r9.apk
|
3.5 KiB |
|
|
|
perl-role-eventemitter-0.003-r0.apk
|
3.7 KiB |
|
|
|
perl-role-eventemitter-doc-0.003-r0.apk
|
4.0 KiB |
|
|
|
perl-rxperl-6.29.8-r0.apk
|
26 KiB |
|
|
|
perl-rxperl-anyevent-6.8.1-r0.apk
|
2.7 KiB |
|
|
|
perl-rxperl-anyevent-doc-6.8.1-r0.apk
|
9.0 KiB |
|
|
|
perl-rxperl-doc-6.29.8-r0.apk
|
23 KiB |
|
|
|
perl-rxperl-ioasync-6.9.1-r0.apk
|
2.8 KiB |
|
|
|
perl-rxperl-ioasync-doc-6.9.1-r0.apk
|
9.0 KiB |
|
|
|
perl-rxperl-mojo-6.8.2-r0.apk
|
2.8 KiB |
|
|
|
perl-rxperl-mojo-doc-6.8.2-r0.apk
|
9.1 KiB |
|
|
|
perl-ryu-4.001-r0.apk
|
26 KiB |
|
|
|
perl-ryu-async-0.020-r0.apk
|
7.5 KiB |
|
|
|
perl-ryu-async-doc-0.020-r0.apk
|
12 KiB |
|
|
|
perl-ryu-doc-4.001-r0.apk
|
35 KiB |
|
|
|
perl-scalar-readonly-0.03-r2.apk
|
5.5 KiB |
|
|
|
perl-scalar-readonly-doc-0.03-r2.apk
|
3.4 KiB |
|
|
|
perl-session-storage-secure-1.000-r2.apk
|
8.8 KiB |
|
|
|
perl-session-storage-secure-doc-1.000-r2.apk
|
7.4 KiB |
|
|
|
perl-shell-config-generate-0.34-r0.apk
|
7.8 KiB |
|
|
|
perl-shell-config-generate-doc-0.34-r0.apk
|
6.8 KiB |
|
|
|
perl-shell-guess-0.10-r0.apk
|
6.0 KiB |
|
|
|
perl-shell-guess-doc-0.10-r0.apk
|
5.8 KiB |
|
|
|
perl-signature-attribute-checked-0.06-r1.apk
|
8.1 KiB |
|
|
|
perl-signature-attribute-checked-doc-0.06-r1.apk
|
4.6 KiB |
|
|
|
perl-snmp-5.0404-r14.apk
|
74 KiB |
|
|
|
perl-snmp-doc-5.0404-r14.apk
|
14 KiB |
|
|
|
perl-snmp-info-3.972002-r0.apk
|
333 KiB |
|
|
|
perl-snmp-info-doc-3.972002-r0.apk
|
379 KiB |
|
|
|
perl-snowball-norwegian-1.2-r0.apk
|
5.3 KiB |
|
|
|
perl-snowball-norwegian-doc-1.2-r0.apk
|
3.9 KiB |
|
|
|
perl-snowball-swedish-1.2-r0.apk
|
5.2 KiB |
|
|
|
perl-snowball-swedish-doc-1.2-r0.apk
|
3.9 KiB |
|
|
|
perl-soap-lite-1.27-r5.apk
|
110 KiB |
|
|
|
perl-soap-lite-doc-1.27-r5.apk
|
90 KiB |
|
|
|
perl-sort-naturally-1.03-r4.apk
|
8.7 KiB |
|
|
|
perl-sort-naturally-doc-1.03-r4.apk
|
5.5 KiB |
|
|
|
perl-sort-versions-1.62-r0.apk
|
3.7 KiB |
|
|
|
perl-sort-versions-doc-1.62-r0.apk
|
4.1 KiB |
|
|
|
perl-sql-abstract-classic-1.91-r1.apk
|
30 KiB |
|
|
|
perl-sql-abstract-classic-doc-1.91-r1.apk
|
20 KiB |
|
|
|
perl-sql-abstract-more-1.43-r0.apk
|
28 KiB |
|
|
|
perl-sql-abstract-more-doc-1.43-r0.apk
|
16 KiB |
|
|
|
perl-starman-0.4017-r0.apk
|
13 KiB |
|
|
|
perl-starman-doc-0.4017-r0.apk
|
10 KiB |
|
|
|
perl-statistics-basic-1.6611-r0.apk
|
9.2 KiB |
|
|
|
perl-statistics-basic-doc-1.6611-r0.apk
|
50 KiB |
|
|
|
perl-statistics-descriptive-3.0801-r0.apk
|
30 KiB |
|
|
|
perl-statistics-descriptive-doc-3.0801-r0.apk
|
38 KiB |
|
|
|
perl-storable-improved-0.1.3-r0.apk
|
6.7 KiB |
|
|
|
perl-storable-improved-doc-0.1.3-r0.apk
|
6.9 KiB |
|
|
|
perl-string-camelcase-0.04-r2.apk
|
3.2 KiB |
|
|
|
perl-string-camelcase-doc-0.04-r2.apk
|
3.5 KiB |
|
|
|
perl-string-compare-constanttime-0.321-r7.apk
|
7.5 KiB |
|
|
|
perl-string-compare-constanttime-doc-0.321-r7.apk
|
5.3 KiB |
|
|
|
perl-string-crc32-2.100-r5.apk
|
7.4 KiB |
|
|
|
perl-string-crc32-doc-2.100-r5.apk
|
3.4 KiB |
|
|
|
perl-string-escape-2010.002-r0.apk
|
8.9 KiB |
|
|
|
perl-string-escape-doc-2010.002-r0.apk
|
7.8 KiB |
|
|
|
perl-string-random-0.32-r2.apk
|
7.9 KiB |
|
|
|
perl-string-random-doc-0.32-r2.apk
|
6.2 KiB |
|
|
|
perl-string-toidentifier-en-0.12-r0.apk
|
5.4 KiB |
|
|
|
perl-string-toidentifier-en-doc-0.12-r0.apk
|
5.6 KiB |
|
|
|
perl-syntax-keyword-match-0.15-r1.apk
|
14 KiB |
|
|
|
perl-syntax-keyword-match-doc-0.15-r1.apk
|
7.8 KiB |
|
|
|
perl-syntax-operator-equ-0.10-r1.apk
|
8.3 KiB |
|
|
|
perl-syntax-operator-equ-doc-0.10-r1.apk
|
6.6 KiB |
|
|
|
perl-syntax-operator-in-0.10-r1.apk
|
10 KiB |
|
|
|
perl-syntax-operator-in-doc-0.10-r1.apk
|
5.9 KiB |
|
|
|
perl-sys-syscall-0.25-r10.apk
|
5.3 KiB |
|
|
|
perl-sys-syscall-doc-0.25-r10.apk
|
3.7 KiB |
|
|
|
perl-sys-virt-11.1.0-r1.apk
|
206 KiB |
|
|
|
perl-sys-virt-doc-11.1.0-r1.apk
|
100 KiB |
|
|
|
perl-system-command-1.122-r0.apk
|
12 KiB |
|
|
|
perl-system-command-doc-1.122-r0.apk
|
10 KiB |
|
|
|
perl-task-catalyst-4.02-r0.apk
|
3.0 KiB |
|
|
|
perl-task-catalyst-doc-4.02-r0.apk
|
3.7 KiB |
|
|
|
perl-template-plugin-csv-0.04-r3.apk
|
2.7 KiB |
|
|
|
perl-template-plugin-csv-doc-0.04-r3.apk
|
3.0 KiB |
|
|
|
perl-template-plugin-number-format-1.06-r4.apk
|
4.9 KiB |
|
|
|
perl-template-plugin-number-format-doc-1.06-r4.apk
|
4.4 KiB |
|
|
|
perl-template-timer-1.00-r0.apk
|
3.4 KiB |
|
|
|
perl-template-timer-doc-1.00-r0.apk
|
3.7 KiB |
|
|
|
perl-template-tiny-1.15-r0.apk
|
5.5 KiB |
|
|
|
perl-template-tiny-doc-1.15-r0.apk
|
5.0 KiB |
|
|
|
perl-term-size-0.211-r5.apk
|
5.9 KiB |
|
|
|
perl-term-size-doc-0.211-r5.apk
|
3.8 KiB |
|
|
|
perl-term-ui-0.50-r1.apk
|
10 KiB |
|
|
|
perl-term-ui-doc-0.50-r1.apk
|
8.5 KiB |
|
|
|
perl-test-api-0.010-r2.apk
|
5.1 KiB |
|
|
|
perl-test-api-doc-0.010-r2.apk
|
4.3 KiB |
|
|
|
perl-test-class-tiny-0.03-r0.apk
|
5.9 KiB |
|
|
|
perl-test-class-tiny-doc-0.03-r0.apk
|
5.4 KiB |
|
|
|
perl-test-describeme-0.004-r0.apk
|
3.6 KiB |
|
|
|
perl-test-describeme-doc-0.004-r0.apk
|
4.2 KiB |
|
|
|
perl-test-distribution-2.00-r1.apk
|
7.8 KiB |
|
|
|
perl-test-distribution-doc-2.00-r1.apk
|
6.1 KiB |
|
|
|
perl-test-expander-2.5.1-r0.apk
|
7.1 KiB |
|
|
|
perl-test-expander-doc-2.5.1-r0.apk
|
20 KiB |
|
|
|
perl-test-expect-0.34-r0.apk
|
3.5 KiB |
|
|
|
perl-test-expect-doc-0.34-r0.apk
|
3.6 KiB |
|
|
|
perl-test-file-1.995-r0.apk
|
11 KiB |
|
|
|
perl-test-file-doc-1.995-r0.apk
|
6.8 KiB |
|
|
|
perl-test-files-0.26-r0.apk
|
6.7 KiB |
|
|
|
perl-test-files-doc-0.26-r0.apk
|
15 KiB |
|
|
|
perl-test-lwp-useragent-0.036-r0.apk
|
9.8 KiB |
|
|
|
perl-test-lwp-useragent-doc-0.036-r0.apk
|
8.3 KiB |
|
|
|
perl-test-memorygrowth-0.05-r0.apk
|
6.4 KiB |
|
|
|
perl-test-memorygrowth-doc-0.05-r0.apk
|
5.3 KiB |
|
|
|
perl-test-modern-0.013-r3.apk
|
15 KiB |
|
|
|
perl-test-modern-doc-0.013-r3.apk
|
9.9 KiB |
|
|
|
perl-test-randomresult-0.001-r0.apk
|
3.5 KiB |
|
|
|
perl-test-randomresult-doc-0.001-r0.apk
|
3.7 KiB |
|
|
|
perl-test-requires-git-1.008-r0.apk
|
4.8 KiB |
|
|
|
perl-test-requires-git-doc-1.008-r0.apk
|
4.4 KiB |
|
|
|
perl-test-roo-1.004-r3.apk
|
12 KiB |
|
|
|
perl-test-roo-doc-1.004-r3.apk
|
16 KiB |
|
|
|
perl-test-settings-0.003-r0.apk
|
4.9 KiB |
|
|
|
perl-test-settings-doc-0.003-r0.apk
|
6.0 KiB |
|
|
|
perl-test-timer-2.12-r2.apk
|
8.9 KiB |
|
|
|
perl-test-timer-doc-2.12-r2.apk
|
8.4 KiB |
|
|
|
perl-test-toolbox-0.4-r5.apk
|
9.9 KiB |
|
|
|
perl-test-toolbox-doc-0.4-r5.apk
|
6.2 KiB |
|
|
|
perl-test-trap-0.3.5-r1.apk
|
20 KiB |
|
|
|
perl-test-trap-doc-0.3.5-r1.apk
|
20 KiB |
|
|
|
perl-test-unit-0.27-r0.apk
|
37 KiB |
|
|
|
perl-test-unit-doc-0.27-r0.apk
|
48 KiB |
|
|
|
perl-test-useallmodules-0.17-r1.apk
|
3.8 KiB |
|
|
|
perl-test-useallmodules-doc-0.17-r1.apk
|
3.9 KiB |
|
|
|
perl-test-utf8-1.03-r0.apk
|
5.6 KiB |
|
|
|
perl-test-utf8-doc-1.03-r0.apk
|
4.9 KiB |
|
|
|
perl-test-www-mechanize-1.60-r0.apk
|
15 KiB |
|
|
|
perl-test-www-mechanize-catalyst-0.62-r0.apk
|
7.4 KiB |
|
|
|
perl-test-www-mechanize-catalyst-doc-0.62-r0.apk
|
6.2 KiB |
|
|
|
perl-test-www-mechanize-doc-1.60-r0.apk
|
10 KiB |
|
|
|
perl-test2-tools-explain-0.02-r0.apk
|
3.8 KiB |
|
|
|
perl-test2-tools-explain-doc-0.02-r0.apk
|
4.4 KiB |
|
|
|
perl-text-brew-0.02-r5.apk
|
4.5 KiB |
|
|
|
perl-text-brew-doc-0.02-r5.apk
|
4.1 KiB |
|
|
|
perl-text-german-0.06-r0.apk
|
13 KiB |
|
|
|
perl-text-german-doc-0.06-r0.apk
|
3.0 KiB |
|
|
|
perl-text-simpletable-2.07-r0.apk
|
4.5 KiB |
|
|
|
perl-text-simpletable-doc-2.07-r0.apk
|
3.5 KiB |
|
|
|
perl-text-table-any-0.117-r0.apk
|
8.1 KiB |
|
|
|
perl-text-table-any-doc-0.117-r0.apk
|
6.7 KiB |
|
|
|
perl-text-table-sprintf-0.008-r0.apk
|
5.4 KiB |
|
|
|
perl-text-table-sprintf-doc-0.008-r0.apk
|
5.2 KiB |
|
|
|
perl-text-worddiff-0.09-r0.apk
|
10 KiB |
|
|
|
perl-text-worddiff-doc-0.09-r0.apk
|
14 KiB |
|
|
|
perl-throwable-1.001-r1.apk
|
6.2 KiB |
|
|
|
perl-throwable-doc-1.001-r1.apk
|
8.0 KiB |
|
|
|
perl-tickit-widget-choice-0.07-r0.apk
|
3.9 KiB |
|
|
|
perl-tickit-widget-choice-doc-0.07-r0.apk
|
3.4 KiB |
|
|
|
perl-tickit-widget-entry-plugin-completion-0.02-r0.apk
|
4.6 KiB |
|
|
|
perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk
|
3.8 KiB |
|
|
|
perl-tickit-widget-floatbox-0.11-r0.apk
|
4.7 KiB |
|
|
|
perl-tickit-widget-floatbox-doc-0.11-r0.apk
|
4.2 KiB |
|
|
|
perl-tickit-widget-menu-0.16-r0.apk
|
7.2 KiB |
|
|
|
perl-tickit-widget-menu-doc-0.16-r0.apk
|
6.9 KiB |
|
|
|
perl-tickit-widget-scrollbox-0.12-r0.apk
|
8.0 KiB |
|
|
|
perl-tickit-widget-scrollbox-doc-0.12-r0.apk
|
6.5 KiB |
|
|
|
perl-tie-toobject-0.03-r0.apk
|
2.6 KiB |
|
|
|
perl-tie-toobject-doc-0.03-r0.apk
|
3.2 KiB |
|
|
|
perl-time-moment-0.44-r1.apk
|
47 KiB |
|
|
|
perl-time-moment-doc-0.44-r1.apk
|
31 KiB |
|
|
|
perl-time-moment-role-strptime-0.001-r0.apk
|
2.7 KiB |
|
|
|
perl-time-moment-role-strptime-doc-0.001-r0.apk
|
3.4 KiB |
|
|
|
perl-time-moment-role-timezone-1.000-r0.apk
|
3.6 KiB |
|
|
|
perl-time-moment-role-timezone-doc-1.000-r0.apk
|
4.0 KiB |
|
|
|
perl-time-timegm-0.01-r10.apk
|
6.9 KiB |
|
|
|
perl-time-timegm-doc-0.01-r10.apk
|
3.9 KiB |
|
|
|
perl-tree-simple-visitorfactory-0.16-r0.apk
|
20 KiB |
|
|
|
perl-tree-simple-visitorfactory-doc-0.16-r0.apk
|
46 KiB |
|
|
|
perl-types-path-tiny-0.006-r0.apk
|
4.0 KiB |
|
|
|
perl-types-path-tiny-doc-0.006-r0.apk
|
4.1 KiB |
|
|
|
perl-uri-db-0.23-r0.apk
|
11 KiB |
|
|
|
perl-uri-db-doc-0.23-r0.apk
|
8.4 KiB |
|
|
|
perl-uri-fetch-0.15-r0.apk
|
7.1 KiB |
|
|
|
perl-uri-fetch-doc-0.15-r0.apk
|
7.5 KiB |
|
|
|
perl-uri-find-20160806-r0.apk
|
14 KiB |
|
|
|
perl-uri-find-doc-20160806-r0.apk
|
9.1 KiB |
|
|
|
perl-uri-nested-0.10-r0.apk
|
4.0 KiB |
|
|
|
perl-uri-nested-doc-0.10-r0.apk
|
3.9 KiB |
|
|
|
perl-uri-redis-0.02-r0.apk
|
3.2 KiB |
|
|
|
perl-uri-redis-doc-0.02-r0.apk
|
4.6 KiB |
|
|
|
perl-uri-tcp-2.0.0-r0.apk
|
2.7 KiB |
|
|
|
perl-uri-tcp-doc-2.0.0-r0.apk
|
5.0 KiB |
|
|
|
perl-uri-ws-0.03-r0.apk
|
2.3 KiB |
|
|
|
perl-uri-ws-doc-0.03-r0.apk
|
4.3 KiB |
|
|
|
perl-url-encode-0.03-r4.apk
|
5.2 KiB |
|
|
|
perl-url-encode-doc-0.03-r4.apk
|
4.7 KiB |
|
|
|
perl-variable-disposition-0.005-r0.apk
|
3.3 KiB |
|
|
|
perl-variable-disposition-doc-0.005-r0.apk
|
5.7 KiB |
|
|
|
perl-web-machine-0.17-r0.apk
|
20 KiB |
|
|
|
perl-web-machine-doc-0.17-r0.apk
|
29 KiB |
|
|
|
perl-www-noss-1.03-r0.apk
|
39 KiB |
|
|
|
perl-www-noss-doc-1.03-r0.apk
|
39 KiB |
|
|
|
perl-x-tiny-0.22-r0.apk
|
6.9 KiB |
|
|
|
perl-x-tiny-doc-0.22-r0.apk
|
7.6 KiB |
|
|
|
perl-xml-atom-0.43-r0.apk
|
20 KiB |
|
|
|
perl-xml-atom-doc-0.43-r0.apk
|
16 KiB |
|
|
|
perl-xml-bare-0.53-r14.apk
|
29 KiB |
|
|
|
perl-xml-bare-doc-0.53-r14.apk
|
12 KiB |
|
|
|
perl-xml-feed-0.65-r0.apk
|
14 KiB |
|
|
|
perl-xml-feed-doc-0.65-r0.apk
|
12 KiB |
|
|
|
perl-xml-parser-style-easytree-0.09-r0.apk
|
5.0 KiB |
|
|
|
perl-xml-parser-style-easytree-doc-0.09-r0.apk
|
5.4 KiB |
|
|
|
perl-xml-rpc-2.1-r0.apk
|
5.7 KiB |
|
|
|
perl-xml-rpc-doc-2.1-r0.apk
|
4.9 KiB |
|
|
|
perl-xml-stream-1.24-r0.apk
|
44 KiB |
|
|
|
perl-xml-stream-doc-1.24-r0.apk
|
18 KiB |
|
|
|
persistent-cache-cpp-1.0.7-r4.apk
|
51 KiB |
|
|
|
persistent-cache-cpp-dev-1.0.7-r4.apk
|
18 KiB |
|
|
|
persistent-cache-cpp-doc-1.0.7-r4.apk
|
3.1 KiB |
|
|
|
pest-language-server-0.3.9-r0.apk
|
1.1 MiB |
|
|
|
pfetch-1.9.0-r0.apk
|
23 KiB |
|
|
|
pfetch-doc-1.9.0-r0.apk
|
5.7 KiB |
|
|
|
pgcat-1.2.0-r1.apk
|
2.6 MiB |
|
|
|
pgcat-openrc-1.2.0-r1.apk
|
1.9 KiB |
|
|
|
phoronix-test-suite-10.8.4-r2.apk
|
3.9 MiB |
|
|
|
phoronix-test-suite-bash-completion-10.8.4-r2.apk
|
1.8 KiB |
|
|
|
phoronix-test-suite-doc-10.8.4-r2.apk
|
288 KiB |
|
|
|
php81-8.1.33-r0.apk
|
1.8 MiB |
|
|
|
php81-apache2-8.1.33-r0.apk
|
1.8 MiB |
|
|
|
php81-bcmath-8.1.33-r0.apk
|
17 KiB |
|
|
|
php81-bz2-8.1.33-r0.apk
|
11 KiB |
|
|
|
php81-calendar-8.1.33-r0.apk
|
13 KiB |
|
|
|
php81-cgi-8.1.33-r0.apk
|
1.8 MiB |
|
|
|
php81-common-8.1.33-r0.apk
|
25 KiB |
|
|
|
php81-ctype-8.1.33-r0.apk
|
5.1 KiB |
|
|
|
php81-curl-8.1.33-r0.apk
|
38 KiB |
|
|
|
php81-dba-8.1.33-r0.apk
|
25 KiB |
|
|
|
php81-dev-8.1.33-r0.apk
|
939 KiB |
|
|
|
php81-doc-8.1.33-r0.apk
|
68 KiB |
|
|
|
php81-dom-8.1.33-r0.apk
|
66 KiB |
|
|
|
php81-embed-8.1.33-r0.apk
|
1.8 MiB |
|
|
|
php81-enchant-8.1.33-r0.apk
|
8.9 KiB |
|
|
|
php81-exif-8.1.33-r0.apk
|
30 KiB |
|
|
|
php81-ffi-8.1.33-r0.apk
|
69 KiB |
|
|
|
php81-fileinfo-8.1.33-r0.apk
|
384 KiB |
|
|
|
php81-fpm-8.1.33-r0.apk
|
1.9 MiB |
|
|
|
php81-ftp-8.1.33-r0.apk
|
25 KiB |
|
|
|
php81-gd-8.1.33-r0.apk
|
135 KiB |
|
|
|
php81-gettext-8.1.33-r0.apk
|
6.3 KiB |
|
|
|
php81-gmp-8.1.33-r0.apk
|
21 KiB |
|
|
|
php81-iconv-8.1.33-r0.apk
|
18 KiB |
|
|
|
php81-imap-8.1.33-r0.apk
|
35 KiB |
|
|
|
php81-intl-8.1.33-r0.apk
|
151 KiB |
|
|
|
php81-ldap-8.1.33-r0.apk
|
34 KiB |
|
|
|
php81-litespeed-8.1.33-r0.apk
|
1.8 MiB |
|
|
|
php81-mbstring-8.1.33-r0.apk
|
575 KiB |
|
|
|
php81-mysqli-8.1.33-r0.apk
|
42 KiB |
|
|
|
php81-mysqlnd-8.1.33-r0.apk
|
84 KiB |
|
|
|
php81-odbc-8.1.33-r0.apk
|
25 KiB |
|
|
|
php81-opcache-8.1.33-r0.apk
|
350 KiB |
|
|
|
php81-openssl-8.1.33-r0.apk
|
80 KiB |
|
|
|
php81-pcntl-8.1.33-r0.apk
|
14 KiB |
|
|
|
php81-pdo-8.1.33-r0.apk
|
44 KiB |
|
|
|
php81-pdo_dblib-8.1.33-r0.apk
|
13 KiB |
|
|
|
php81-pdo_mysql-8.1.33-r0.apk
|
14 KiB |
|
|
|
php81-pdo_odbc-8.1.33-r0.apk
|
14 KiB |
|
|
|
php81-pdo_pgsql-8.1.33-r0.apk
|
21 KiB |
|
|
|
php81-pdo_sqlite-8.1.33-r0.apk
|
14 KiB |
|
|
|
php81-pear-8.1.33-r0.apk
|
338 KiB |
|
|
|
php81-pecl-amqp-2.1.2-r0.apk
|
51 KiB |
|
|
|
php81-pecl-apcu-5.1.24-r0.apk
|
56 KiB |
|
|
|
php81-pecl-ast-1.1.2-r0.apk
|
19 KiB |
|
|
|
php81-pecl-brotli-0.18.0-r0.apk
|
15 KiB |
|
|
|
php81-pecl-couchbase-4.3.0-r0.apk
|
5.4 MiB |
|
|
|
php81-pecl-csv-0.4.3-r0.apk
|
9.8 KiB |
|
|
|
php81-pecl-decimal-1.5.0-r1.apk
|
19 KiB |
|
|
|
php81-pecl-ds-1.6.0-r0.apk
|
62 KiB |
|
|
|
php81-pecl-event-3.1.4-r0.apk
|
51 KiB |
|
|
|
php81-pecl-grpc-1.72.0-r0.apk
|
5.4 MiB |
|
|
|
php81-pecl-igbinary-3.2.16-r0.apk
|
33 KiB |
|
|
|
php81-pecl-immutable_cache-6.1.0-r0.apk
|
42 KiB |
|
|
|
php81-pecl-jsmin-3.0.0-r0.apk
|
11 KiB |
|
|
|
php81-pecl-luasandbox-4.1.2-r0.apk
|
31 KiB |
|
|
|
php81-pecl-lzf-1.7.0-r0.apk
|
7.4 KiB |
|
|
|
php81-pecl-mailparse-3.1.8-r0.apk
|
24 KiB |
|
|
|
php81-pecl-maxminddb-1.12.1-r0.apk
|
8.3 KiB |
|
|
|
php81-pecl-mcrypt-1.0.7-r0.apk
|
15 KiB |
|
|
|
php81-pecl-memcache-8.2-r1.apk
|
43 KiB |
|
|
|
php81-pecl-mongodb-2.1.1-r0.apk
|
836 KiB |
|
|
|
php81-pecl-oauth-2.0.9-r0.apk
|
35 KiB |
|
|
|
php81-pecl-opentelemetry-1.1.3-r0.apk
|
13 KiB |
|
|
|
php81-pecl-pcov-1.0.12-r0.apk
|
9.5 KiB |
|
|
|
php81-pecl-protobuf-4.30.0-r0.apk
|
147 KiB |
|
|
|
php81-pecl-psr-1.2.0-r0.apk
|
17 KiB |
|
|
|
php81-pecl-rdkafka-6.0.5-r0.apk
|
37 KiB |
|
|
|
php81-pecl-smbclient-1.2.0_pre-r0.apk
|
21 KiB |
|
|
|
php81-pecl-ssh2-1.4.1-r0.apk
|
27 KiB |
|
|
|
php81-pecl-timezonedb-2025.2-r0.apk
|
190 KiB |
|
|
|
php81-pecl-uploadprogress-2.0.2-r1.apk
|
6.7 KiB |
|
|
|
php81-pecl-uploadprogress-doc-2.0.2-r1.apk
|
9.9 KiB |
|
|
|
php81-pecl-uuid-1.3.0-r0.apk
|
6.7 KiB |
|
|
|
php81-pecl-xdebug-3.4.4-r0.apk
|
143 KiB |
|
|
|
php81-pecl-xhprof-2.3.10-r0.apk
|
13 KiB |
|
|
|
php81-pecl-xhprof-assets-2.3.10-r0.apk
|
801 KiB |
|
|
|
php81-pecl-xlswriter-1.5.8-r0.apk
|
229 KiB |
|
|
|
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk
|
38 KiB |
|
|
|
php81-pecl-yaml-2.2.4-r0.apk
|
19 KiB |
|
|
|
php81-pecl-zephir_parser-1.7.0-r0.apk
|
57 KiB |
|
|
|
php81-pecl-zstd-0.14.0-r0.apk
|
14 KiB |
|
|
|
php81-pgsql-8.1.33-r0.apk
|
49 KiB |
|
|
|
php81-phar-8.1.33-r0.apk
|
130 KiB |
|
|
|
php81-phpdbg-8.1.33-r0.apk
|
1.9 MiB |
|
|
|
php81-posix-8.1.33-r0.apk
|
12 KiB |
|
|
|
php81-pspell-8.1.33-r0.apk
|
8.4 KiB |
|
|
|
php81-session-8.1.33-r0.apk
|
39 KiB |
|
|
|
php81-shmop-8.1.33-r0.apk
|
6.4 KiB |
|
|
|
php81-simplexml-8.1.33-r0.apk
|
25 KiB |
|
|
|
php81-snmp-8.1.33-r0.apk
|
22 KiB |
|
|
|
php81-soap-8.1.33-r0.apk
|
145 KiB |
|
|
|
php81-sockets-8.1.33-r0.apk
|
38 KiB |
|
|
|
php81-sodium-8.1.33-r0.apk
|
27 KiB |
|
|
|
php81-sqlite3-8.1.33-r0.apk
|
22 KiB |
|
|
|
php81-sysvmsg-8.1.33-r0.apk
|
8.2 KiB |
|
|
|
php81-sysvsem-8.1.33-r0.apk
|
6.0 KiB |
|
|
|
php81-sysvshm-8.1.33-r0.apk
|
7.0 KiB |
|
|
|
php81-tideways_xhprof-5.0.4-r1.apk
|
14 KiB |
|
|
|
php81-tidy-8.1.33-r0.apk
|
20 KiB |
|
|
|
php81-tokenizer-8.1.33-r0.apk
|
12 KiB |
|
|
|
php81-xml-8.1.33-r0.apk
|
20 KiB |
|
|
|
php81-xmlreader-8.1.33-r0.apk
|
14 KiB |
|
|
|
php81-xmlwriter-8.1.33-r0.apk
|
12 KiB |
|
|
|
php81-xsl-8.1.33-r0.apk
|
14 KiB |
|
|
|
php81-zip-8.1.33-r0.apk
|
28 KiB |
|
|
|
php82-pecl-apfd-1.0.3-r0.apk
|
4.6 KiB |
|
|
|
php82-pecl-excimer-1.2.5-r0.apk
|
21 KiB |
|
|
|
php82-pecl-immutable_cache-6.1.0-r0.apk
|
42 KiB |
|
|
|
php82-pecl-jsmin-3.0.0-r0.apk
|
11 KiB |
|
|
|
php82-pecl-oauth-2.0.9-r0.apk
|
35 KiB |
|
|
|
php82-pecl-runkit7-4.0.0_alpha6-r1.apk
|
30 KiB |
|
|
|
php82-pecl-teds-1.3.0-r0.apk
|
126 KiB |
|
|
|
php82-pecl-vld-0.19.0-r0.apk
|
15 KiB |
|
|
|
php82-pecl-zephir_parser-1.7.0-r0.apk
|
57 KiB |
|
|
|
php82-snappy-0.2.3-r0.apk
|
5.4 KiB |
|
|
|
php83-pecl-apfd-1.0.3-r0.apk
|
4.6 KiB |
|
|
|
php83-pecl-eio-3.1.3-r0.apk
|
29 KiB |
|
|
|
php83-pecl-ev-1.2.0-r0.apk
|
42 KiB |
|
|
|
php83-pecl-excimer-1.2.5-r0.apk
|
21 KiB |
|
|
|
php83-pecl-jsmin-3.0.0-r0.apk
|
11 KiB |
|
|
|
php83-pecl-oauth-2.0.9-r0.apk
|
35 KiB |
|
|
|
php83-pecl-phpy-1.0.11-r1.apk
|
44 KiB |
|
|
|
php83-pecl-uv-0.3.0-r0.apk
|
49 KiB |
|
|
|
php83-pecl-vld-0.19.0-r0.apk
|
15 KiB |
|
|
|
php83-pecl-zmq-1.1.4-r0.apk
|
32 KiB |
|
|
|
php84-pecl-csv-0.4.3-r0.apk
|
9.9 KiB |
|
|
|
php84-pecl-ev-1.2.0-r1.apk
|
42 KiB |
|
|
|
php84-pecl-oauth-2.0.9-r0.apk
|
35 KiB |
|
|
|
php84-pecl-phpy-1.0.11-r1.apk
|
44 KiB |
|
|
|
php84-pecl-solr-2.8.1-r0.apk
|
87 KiB |
|
|
|
php84-pecl-uv-0.3.0-r0.apk
|
49 KiB |
|
|
|
php84-pecl-vld-0.19.0-r0.apk
|
15 KiB |
|
|
|
php84-snappy-0.2.3-r0.apk
|
5.4 KiB |
|
|
|
php85-8.5.0_alpha1-r0.apk
|
2.7 MiB |
|
|
|
php85-apache2-8.5.0_alpha1-r0.apk
|
2.7 MiB |
|
|
|
php85-bcmath-8.5.0_alpha1-r0.apk
|
30 KiB |
|
|
|
php85-bz2-8.5.0_alpha1-r0.apk
|
11 KiB |
|
|
|
php85-calendar-8.5.0_alpha1-r0.apk
|
13 KiB |
|
|
|
php85-cgi-8.5.0_alpha1-r0.apk
|
2.7 MiB |
|
|
|
php85-common-8.5.0_alpha1-r0.apk
|
24 KiB |
|
|
|
php85-ctype-8.5.0_alpha1-r0.apk
|
5.1 KiB |
|
|
|
php85-curl-8.5.0_alpha1-r0.apk
|
47 KiB |
|
|
|
php85-dba-8.5.0_alpha1-r0.apk
|
28 KiB |
|
|
|
php85-dbg-8.5.0_alpha1-r0.apk
|
53 MiB |
|
|
|
php85-dev-8.5.0_alpha1-r0.apk
|
1.1 MiB |
|
|
|
php85-doc-8.5.0_alpha1-r0.apk
|
48 KiB |
|
|
|
php85-dom-8.5.0_alpha1-r0.apk
|
154 KiB |
|
|
|
php85-embed-8.5.0_alpha1-r0.apk
|
2.7 MiB |
|
|
|
php85-enchant-8.5.0_alpha1-r0.apk
|
10 KiB |
|
|
|
php85-exif-8.5.0_alpha1-r0.apk
|
31 KiB |
|
|
|
php85-ffi-8.5.0_alpha1-r0.apk
|
71 KiB |
|
|
|
php85-fileinfo-8.5.0_alpha1-r0.apk
|
506 KiB |
|
|
|
php85-fpm-8.5.0_alpha1-r0.apk
|
2.7 MiB |
|
|
|
php85-ftp-8.5.0_alpha1-r0.apk
|
26 KiB |
|
|
|
php85-gd-8.5.0_alpha1-r0.apk
|
142 KiB |
|
|
|
php85-gettext-8.5.0_alpha1-r0.apk
|
7.0 KiB |
|
|
|
php85-gmp-8.5.0_alpha1-r0.apk
|
21 KiB |
|
|
|
php85-iconv-8.5.0_alpha1-r0.apk
|
19 KiB |
|
|
|
php85-intl-8.5.0_alpha1-r0.apk
|
185 KiB |
|
|
|
php85-ldap-8.5.0_alpha1-r0.apk
|
36 KiB |
|
|
|
php85-litespeed-8.5.0_alpha1-r0.apk
|
2.7 MiB |
|
|
|
php85-mbstring-8.5.0_alpha1-r0.apk
|
650 KiB |
|
|
|
php85-mysqli-8.5.0_alpha1-r0.apk
|
46 KiB |
|
|
|
php85-mysqlnd-8.5.0_alpha1-r0.apk
|
83 KiB |
|
|
|
php85-odbc-8.5.0_alpha1-r0.apk
|
29 KiB |
|
|
|
php85-opcache-8.5.0_alpha1-r0.apk
|
541 KiB |
|
|
|
php85-openssl-8.5.0_alpha1-r0.apk
|
89 KiB |
|
|
|
php85-pcntl-8.5.0_alpha1-r0.apk
|
19 KiB |
|
|
|
php85-pdo-8.5.0_alpha1-r0.apk
|
47 KiB |
|
|
|
php85-pdo_dblib-8.5.0_alpha1-r0.apk
|
13 KiB |
|
|
|
php85-pdo_mysql-8.5.0_alpha1-r0.apk
|
16 KiB |
|
|
|
php85-pdo_odbc-8.5.0_alpha1-r0.apk
|
16 KiB |
|
|
|
php85-pdo_pgsql-8.5.0_alpha1-r0.apk
|
26 KiB |
|
|
|
php85-pdo_sqlite-8.5.0_alpha1-r0.apk
|
20 KiB |
|
|
|
php85-pear-8.5.0_alpha1-r0.apk
|
338 KiB |
|
|
|
php85-pecl-apcu-5.1.24-r0.apk
|
57 KiB |
|
|
|
php85-pecl-brotli-0.18.0-r0.apk
|
15 KiB |
|
|
|
php85-pecl-igbinary-3.2.16-r0.apk
|
32 KiB |
|
|
|
php85-pecl-uploadprogress-2.0.2-r0.apk
|
6.6 KiB |
|
|
|
php85-pecl-uploadprogress-doc-2.0.2-r0.apk
|
9.6 KiB |
|
|
|
php85-pecl-xdebug-3.4.4-r0.apk
|
144 KiB |
|
|
|
php85-pecl-xhprof-2.3.10-r0.apk
|
13 KiB |
|
|
|
php85-pecl-xhprof-assets-2.3.10-r0.apk
|
807 KiB |
|
|
|
php85-pecl-yaml-2.2.4-r0.apk
|
19 KiB |
|
|
|
php85-pgsql-8.5.0_alpha1-r0.apk
|
61 KiB |
|
|
|
php85-phar-8.5.0_alpha1-r0.apk
|
132 KiB |
|
|
|
php85-phpdbg-8.5.0_alpha1-r0.apk
|
2.7 MiB |
|
|
|
php85-posix-8.5.0_alpha1-r0.apk
|
13 KiB |
|
|
|
php85-session-8.5.0_alpha1-r0.apk
|
43 KiB |
|
|
|
php85-shmop-8.5.0_alpha1-r0.apk
|
6.8 KiB |
|
|
|
php85-simplexml-8.5.0_alpha1-r0.apk
|
24 KiB |
|
|
|
php85-snmp-8.5.0_alpha1-r0.apk
|
24 KiB |
|
|
|
php85-soap-8.5.0_alpha1-r0.apk
|
151 KiB |
|
|
|
php85-sockets-8.5.0_alpha1-r0.apk
|
45 KiB |
|
|
|
php85-sodium-8.5.0_alpha1-r0.apk
|
29 KiB |
|
|
|
php85-sqlite3-8.5.0_alpha1-r0.apk
|
26 KiB |
|
|
|
php85-sysvmsg-8.5.0_alpha1-r0.apk
|
8.5 KiB |
|
|
|
php85-sysvsem-8.5.0_alpha1-r0.apk
|
6.0 KiB |
|
|
|
php85-sysvshm-8.5.0_alpha1-r0.apk
|
7.0 KiB |
|
|
|
php85-tidy-8.5.0_alpha1-r0.apk
|
21 KiB |
|
|
|
php85-tokenizer-8.5.0_alpha1-r0.apk
|
12 KiB |
|
|
|
php85-xml-8.5.0_alpha1-r0.apk
|
26 KiB |
|
|
|
php85-xmlreader-8.5.0_alpha1-r0.apk
|
16 KiB |
|
|
|
php85-xmlwriter-8.5.0_alpha1-r0.apk
|
14 KiB |
|
|
|
php85-xsl-8.5.0_alpha1-r0.apk
|
16 KiB |
|
|
|
php85-zip-8.5.0_alpha1-r0.apk
|
34 KiB |
|
|
|
phpactor-2025.04.17.0-r0.apk
|
3.5 MiB |
|
|
|
pick-4.0.0-r0.apk
|
9.7 KiB |
|
|
|
pick-doc-4.0.0-r0.apk
|
3.3 KiB |
|
|
|
pict-rs-0.5.19-r0.apk
|
5.8 MiB |
|
|
|
pict-rs-openrc-0.5.19-r0.apk
|
1.9 KiB |
|
|
|
pidif-0.1-r1.apk
|
165 KiB |
|
|
|
piglit-0_git20241106-r1.apk
|
89 MiB |
|
|
|
pihole-6.2.3-r0.apk
|
5.6 MiB |
|
|
|
pihole-bash-completion-6.2.3-r0.apk
|
2.2 KiB |
|
|
|
pihole-doc-6.2.3-r0.apk
|
3.8 KiB |
|
|
|
pihole-openrc-6.2.3-r0.apk
|
1.8 KiB |
|
|
|
pimd-3.0_git20220201-r0.apk
|
91 KiB |
|
|
|
pimd-dense-2.1.0-r0.apk
|
57 KiB |
|
|
|
pimd-dense-doc-2.1.0-r0.apk
|
20 KiB |
|
|
|
pimd-dense-openrc-2.1.0-r0.apk
|
1.9 KiB |
|
|
|
pimd-doc-3.0_git20220201-r0.apk
|
35 KiB |
|
|
|
pimd-openrc-3.0_git20220201-r0.apk
|
1.6 KiB |
|
|
|
pinentry-bemenu-0.14.0-r0.apk
|
8.6 KiB |
|
|
|
pipectl-0.4.1-r1.apk
|
6.4 KiB |
|
|
|
pipectl-doc-0.4.1-r1.apk
|
3.0 KiB |
|
|
|
piping-server-0.18.0-r0.apk
|
1.3 MiB |
|
|
|
piping-server-openrc-0.18.0-r0.apk
|
1.8 KiB |
|
|
|
pithos-1.6.1-r0.apk
|
104 KiB |
|
|
|
pithos-doc-1.6.1-r0.apk
|
2.1 KiB |
|
|
|
pithos-pyc-1.6.1-r0.apk
|
154 KiB |
|
|
|
pitivi-2023.03-r2.apk
|
2.7 MiB |
|
|
|
pitivi-lang-2023.03-r2.apk
|
678 KiB |
|
|
|
pitivi-pyc-2023.03-r2.apk
|
700 KiB |
|
|
|
pixi-0.24.2-r0.apk
|
8.6 MiB |
|
|
|
pixi-bash-completion-0.24.2-r0.apk
|
7.2 KiB |
|
|
|
pixi-doc-0.24.2-r0.apk
|
6.9 KiB |
|
|
|
pixi-fish-completion-0.24.2-r0.apk
|
10 KiB |
|
|
|
pixi-zsh-completion-0.24.2-r0.apk
|
10 KiB |
|
|
|
pixiewps-1.4.2-r2.apk
|
54 KiB |
|
|
|
pixiewps-doc-1.4.2-r2.apk
|
3.4 KiB |
|
|
|
planarity-4.0.0.0-r0.apk
|
26 KiB |
|
|
|
planarity-dev-4.0.0.0-r0.apk
|
23 KiB |
|
|
|
planarity-doc-4.0.0.0-r0.apk
|
13 KiB |
|
|
|
planarity-libs-4.0.0.0-r0.apk
|
80 KiB |
|
|
|
planner-0.14.92-r1.apk
|
358 KiB |
|
|
|
planner-doc-0.14.92-r1.apk
|
2.2 KiB |
|
|
|
planner-lang-0.14.92-r1.apk
|
825 KiB |
|
|
|
platformio-core-6.1.7-r3.apk
|
262 KiB |
|
|
|
platformio-core-pyc-6.1.7-r3.apk
|
552 KiB |
|
|
|
please-0.5.5-r0.apk
|
1006 KiB |
|
|
|
please-doc-0.5.5-r0.apk
|
16 KiB |
|
|
|
plfit-1.0.1-r0.apk
|
13 KiB |
|
|
|
plfit-dev-1.0.1-r0.apk
|
6.5 KiB |
|
|
|
plfit-libs-1.0.1-r0.apk
|
39 KiB |
|
|
|
plfit-static-1.0.1-r0.apk
|
46 KiB |
|
|
|
plib-1.8.5-r3.apk
|
853 KiB |
|
|
|
plots-0.7.0-r1.apk
|
516 KiB |
|
|
|
plplot-5.15.0-r2.apk
|
31 KiB |
|
|
|
plplot-dev-5.15.0-r2.apk
|
59 KiB |
|
|
|
plplot-doc-5.15.0-r2.apk
|
311 KiB |
|
|
|
plplot-libs-5.15.0-r2.apk
|
216 KiB |
|
|
|
pmccabe-2.8-r1.apk
|
22 KiB |
|
|
|
pmccabe-doc-2.8-r1.apk
|
7.1 KiB |
|
|
|
pnmixer-0.7.2-r3.apk
|
142 KiB |
|
|
|
pnmixer-doc-0.7.2-r3.apk
|
2.3 KiB |
|
|
|
pnmixer-lang-0.7.2-r3.apk
|
25 KiB |
|
|
|
pokoy-0.2.5-r0.apk
|
11 KiB |
|
|
|
pokoy-doc-0.2.5-r0.apk
|
3.0 KiB |
|
|
|
policycoreutils-3.6-r1.apk
|
53 KiB |
|
|
|
policycoreutils-bash-completion-3.6-r1.apk
|
2.4 KiB |
|
|
|
policycoreutils-doc-3.6-r1.apk
|
22 KiB |
|
|
|
policycoreutils-lang-3.6-r1.apk
|
105 KiB |
|
|
|
polyglot-2.0.4-r1.apk
|
66 KiB |
|
|
|
polyglot-doc-2.0.4-r1.apk
|
48 KiB |
|
|
|
pomo-0.8.1-r23.apk
|
1.7 MiB |
|
|
|
pomo-doc-0.8.1-r23.apk
|
2.7 KiB |
|
|
|
pongoos-loader-0_git20210704-r1.apk
|
2.4 KiB |
|
|
|
pop-cursor-theme-3.5.1-r0.apk
|
13 MiB |
|
|
|
pop-icon-theme-3.5.1-r0.apk
|
1.3 MiB |
|
|
|
popeye-0.22.1-r4.apk
|
29 MiB |
|
|
|
porla-0.41.0-r2.apk
|
3.7 MiB |
|
|
|
porla-doc-0.41.0-r2.apk
|
2.2 KiB |
|
|
|
porla-openrc-0.41.0-r2.apk
|
2.7 KiB |
|
|
|
portsmf-239-r1.apk
|
63 KiB |
|
|
|
portsmf-dev-239-r1.apk
|
20 KiB |
|
|
|
postgresql-pg_later-0.0.14-r1.apk
|
622 KiB |
|
|
|
postgresql-pg_variables-1.2.5_git20230922-r0.apk
|
26 KiB |
|
|
|
postgresql-pg_variables-bitcode-1.2.5_git20230922-r0.apk
|
52 KiB |
|
|
|
postgresql-pgmq-1.1.1-r1.apk
|
264 KiB |
|
|
|
postgresql16-wal2json-2.6-r0.apk
|
72 KiB |
|
|
|
pounce-3.1-r3.apk
|
29 KiB |
|
|
|
pounce-doc-3.1-r3.apk
|
8.6 KiB |
|
|
|
pounce-openrc-3.1-r3.apk
|
2.9 KiB |
|
|
|
powder-toy-97.0.352-r1.apk
|
848 KiB |
|
|
|
powerstat-0.04.01-r0.apk
|
20 KiB |
|
|
|
powerstat-bash-completion-0.04.01-r0.apk
|
2.3 KiB |
|
|
|
powerstat-doc-0.04.01-r0.apk
|
4.3 KiB |
|
|
|
pptpclient-1.10.0-r6.apk
|
33 KiB |
|
|
|
pptpclient-doc-1.10.0-r6.apk
|
7.2 KiB |
|
|
|
pqiv-2.12-r1.apk
|
72 KiB |
|
|
|
pqiv-doc-2.12-r1.apk
|
12 KiB |
|
|
|
predict-2.3.1-r0.apk
|
108 KiB |
|
|
|
predict-doc-2.3.1-r0.apk
|
16 KiB |
|
|
|
primecount-7.17-r0.apk
|
32 KiB |
|
|
|
primecount-dev-7.17-r0.apk
|
2.2 MiB |
|
|
|
primecount-doc-7.17-r0.apk
|
3.9 KiB |
|
|
|
primecount-libs-7.17-r0.apk
|
176 KiB |
|
|
|
primesieve-12.8-r0.apk
|
51 KiB |
|
|
|
primesieve-dev-12.8-r0.apk
|
1.3 MiB |
|
|
|
primesieve-doc-12.8-r0.apk
|
4.1 KiB |
|
|
|
primesieve-libs-12.8-r0.apk
|
135 KiB |
|
|
|
prjtrellis-1.4-r2.apk
|
1.3 MiB |
|
|
|
prjtrellis-db-0_git20230929-r0.apk
|
3.3 KiB |
|
|
|
prjtrellis-db-ecp5-0_git20230929-r0.apk
|
2.1 MiB |
|
|
|
prjtrellis-db-machxo-0_git20230929-r0.apk
|
39 KiB |
|
|
|
prjtrellis-db-machxo2-0_git20230929-r0.apk
|
1013 KiB |
|
|
|
prjtrellis-db-machxo3-0_git20230929-r0.apk
|
1.1 MiB |
|
|
|
prjtrellis-db-machxo3d-0_git20230929-r0.apk
|
748 KiB |
|
|
|
projectm-3.1.12-r2.apk
|
462 KiB |
|
|
|
projectm-dev-3.1.12-r2.apk
|
605 KiB |
|
|
|
projectm-presets-3.1.12-r2.apk
|
4.4 MiB |
|
|
|
projectm-pulseaudio-3.1.12-r2.apk
|
434 KiB |
|
|
|
projectm-pulseaudio-doc-3.1.12-r2.apk
|
2.0 KiB |
|
|
|
projectm-sdl-3.1.12-r2.apk
|
339 KiB |
|
|
|
projectsandcastle-loader-0_git20200307-r1.apk
|
4.9 KiB |
|
|
|
prometheus-ipmi-exporter-1.8.0-r5.apk
|
4.5 MiB |
|
|
|
prometheus-ipmi-exporter-doc-1.8.0-r5.apk
|
6.6 KiB |
|
|
|
prometheus-ipmi-exporter-openrc-1.8.0-r5.apk
|
1.9 KiB |
|
|
|
prometheus-opnsense-exporter-0.0.8-r0.apk
|
5.0 MiB |
|
|
|
prometheus-opnsense-exporter-openrc-0.0.8-r0.apk
|
2.1 KiB |
|
|
|
prometheus-podman-exporter-1.17.0-r0.apk
|
16 MiB |
|
|
|
prometheus-rethinkdb-exporter-1.0.1-r28.apk
|
4.4 MiB |
|
|
|
prometheus-rethinkdb-exporter-openrc-1.0.1-r28.apk
|
1.7 KiB |
|
|
|
prometheus-smartctl-exporter-0.14.0-r0.apk
|
4.9 MiB |
|
|
|
prometheus-smartctl-exporter-openrc-0.14.0-r0.apk
|
1.9 KiB |
|
|
|
prometheus-unbound-exporter-0.4.6-r5.apk
|
3.9 MiB |
|
|
|
prometheus-unbound-exporter-openrc-0.4.6-r5.apk
|
2.0 KiB |
|
|
|
proot-5.4.0-r1.apk
|
80 KiB |
|
|
|
proot-doc-5.4.0-r1.apk
|
10 KiB |
|
|
|
proot-static-5.4.0-r1.apk
|
117 KiB |
|
|
|
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk
|
2.8 KiB |
|
|
|
prosody-mod-auth_pam-0.11_hg20201208-r0.apk
|
1.8 KiB |
|
|
|
prosody-mod-auth_sql-0.11_hg20201208-r0.apk
|
2.6 KiB |
|
|
|
prosody-mod-block_registrations-0.11_hg20201208-r0.apk
|
1.8 KiB |
|
|
|
prosody-mod-bookmarks-0.11_hg20201208-r0.apk
|
3.1 KiB |
|
|
|
prosody-mod-broadcast-0.11_hg20201208-r0.apk
|
1.8 KiB |
|
|
|
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk
|
2.0 KiB |
|
|
|
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk
|
7.2 KiB |
|
|
|
prosody-mod-conversejs-0.11_hg20201208-r0.apk
|
3.3 KiB |
|
|
|
prosody-mod-host_guard-0.11_hg20201208-r0.apk
|
2.8 KiB |
|
|
|
prosody-mod-http_upload_external-0.11_hg20201208-r0.apk
|
2.9 KiB |
|
|
|
prosody-mod-ipcheck-0.11_hg20201208-r0.apk
|
2.0 KiB |
|
|
|
prosody-mod-log_auth-0.11_hg20201208-r0.apk
|
1.8 KiB |
|
|
|
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk
|
2.2 KiB |
|
|
|
prosody-mod-mam-0.11_hg20201208-r0.apk
|
5.9 KiB |
|
|
|
prosody-mod-mam_muc-0.11_hg20201208-r0.apk
|
5.6 KiB |
|
|
|
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk
|
6.9 KiB |
|
|
|
prosody-mod-pastebin-0.11_hg20201208-r0.apk
|
3.7 KiB |
|
|
|
prosody-mod-register_json-0.11_hg20201208-r0.apk
|
104 KiB |
|
|
|
prosody-mod-register_redirect-0.11_hg20201208-r0.apk
|
2.7 KiB |
|
|
|
prosody-mod-reload_modules-0.11_hg20201208-r0.apk
|
2.0 KiB |
|
|
|
prosody-mod-require_otr-0.11_hg20201208-r0.apk
|
1.7 KiB |
|
|
|
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk
|
2.0 KiB |
|
|
|
prosody-mod-saslname-0.11_hg20201208-r0.apk
|
1.6 KiB |
|
|
|
prosody-mod-server_status-0.11_hg20201208-r0.apk
|
2.8 KiB |
|
|
|
prosody-mod-smacks-0.11_hg20201208-r0.apk
|
8.6 KiB |
|
|
|
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk
|
2.0 KiB |
|
|
|
prosody-mod-support_contact-0.11_hg20201208-r0.apk
|
2.0 KiB |
|
|
|
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk
|
2.7 KiB |
|
|
|
prosody-mod-webpresence-0.11_hg20201208-r0.apk
|
2.6 KiB |
|
|
|
prosody-modules-0.11_hg20201208-r0.apk
|
1.5 KiB |
|
|
|
protoc-gen-go-1.36.6-r0.apk
|
2.4 MiB |
|
|
|
protoconf-0.1.7-r13.apk
|
7.9 MiB |
|
|
|
psftools-1.1.2-r0.apk
|
190 KiB |
|
|
|
psftools-dev-1.1.2-r0.apk
|
43 KiB |
|
|
|
psftools-doc-1.1.2-r0.apk
|
60 KiB |
|
|
|
psi-notify-1.3.1-r0.apk
|
12 KiB |
|
|
|
psi-plus-1.5.1965-r1.apk
|
8.9 MiB |
|
|
|
psi-plus-plugins-1.5.1965-r1.apk
|
1.8 MiB |
|
|
|
pspp-2.0.1-r0.apk
|
9.4 MiB |
|
|
|
pspp-dbg-2.0.1-r0.apk
|
3.9 MiB |
|
|
|
pspp-doc-2.0.1-r0.apk
|
9.0 KiB |
|
|
|
psst-0_git20240526-r1.apk
|
7.4 MiB |
|
|
|
ptpd-2.3.1-r1.apk
|
180 KiB |
|
|
|
ptpd-doc-2.3.1-r1.apk
|
20 KiB |
|
|
|
ptpd-openrc-2.3.1-r1.apk
|
2.4 KiB |
|
|
|
ptylie-0.2-r2.apk
|
11 KiB |
|
|
|
ptylie-doc-0.2-r2.apk
|
3.2 KiB |
|
|
|
pully-1.0.0-r0.apk
|
2.5 KiB |
|
|
|
pully-openrc-1.0.0-r0.apk
|
1.7 KiB |
|
|
|
pulsar-client-cpp-3.7.1-r0.apk
|
1.5 MiB |
|
|
|
pulsar-client-cpp-dev-3.7.1-r0.apk
|
64 KiB |
|
|
|
pulseview-0.4.2-r8.apk
|
1008 KiB |
|
|
|
pulseview-doc-0.4.2-r8.apk
|
3.7 KiB |
|
|
|
purple-facebook-0.9.6-r0.apk
|
88 KiB |
|
|
|
purple-hangouts-0_git20200422-r0.apk
|
228 KiB |
|
|
|
pw-volume-0.5.0-r1.apk
|
329 KiB |
|
|
|
pwauth-2.3.11-r2.apk
|
4.1 KiB |
|
|
|
pwauth-doc-2.3.11-r2.apk
|
6.8 KiB |
|
|
|
pxalarm-3.0.0-r0.apk
|
2.9 KiB |
|
|
|
pxmenu-1.0.0-r1.apk
|
2.9 KiB |
|
|
|
py3-actdiag-3.0.0-r5.apk
|
17 KiB |
|
|
|
py3-actdiag-pyc-3.0.0-r5.apk
|
22 KiB |
|
|
|
py3-aesedb-0.1.6-r3.apk
|
36 KiB |
|
|
|
py3-aesedb-pyc-0.1.6-r3.apk
|
75 KiB |
|
|
|
py3-agithub-2.2.2-r7.apk
|
19 KiB |
|
|
|
py3-agithub-pyc-2.2.2-r7.apk
|
21 KiB |
|
|
|
py3-aiodocker-0.21.0-r1.apk
|
29 KiB |
|
|
|
py3-aiodocker-pyc-0.21.0-r1.apk
|
60 KiB |
|
|
|
py3-aiohttp-debugtoolbar-0.6.1-r2.apk
|
446 KiB |
|
|
|
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk
|
51 KiB |
|
|
|
py3-aiohttp-jinja2-1.6-r2.apk
|
12 KiB |
|
|
|
py3-aiohttp-jinja2-pyc-1.6-r2.apk
|
9.2 KiB |
|
|
|
py3-aiohttp-remotes-1.3.0-r0.apk
|
10 KiB |
|
|
|
py3-aiohttp-remotes-pyc-1.3.0-r0.apk
|
19 KiB |
|
|
|
py3-aiohttp-session-2.12.1-r0.apk
|
10 KiB |
|
|
|
py3-aiohttp-session-pyc-2.12.1-r0.apk
|
15 KiB |
|
|
|
py3-aioopenssl-0.6.0-r4.apk
|
21 KiB |
|
|
|
py3-aioopenssl-pyc-0.6.0-r4.apk
|
19 KiB |
|
|
|
py3-aiosasl-0.5.0-r4.apk
|
30 KiB |
|
|
|
py3-aiosasl-doc-0.5.0-r4.apk
|
16 KiB |
|
|
|
py3-aiosasl-pyc-0.5.0-r4.apk
|
24 KiB |
|
|
|
py3-aiosmb-0.4.11-r1.apk
|
597 KiB |
|
|
|
py3-aiosmb-pyc-0.4.11-r1.apk
|
1.1 MiB |
|
|
|
py3-aiowinreg-0.0.12-r1.apk
|
22 KiB |
|
|
|
py3-aiowinreg-pyc-0.0.12-r1.apk
|
45 KiB |
|
|
|
py3-aioxmpp-0.13.3-r3.apk
|
388 KiB |
|
|
|
py3-aioxmpp-doc-0.13.3-r3.apk
|
18 KiB |
|
|
|
py3-aioxmpp-pyc-0.13.3-r3.apk
|
673 KiB |
|
|
|
py3-allfiles-1.0-r8.apk
|
3.6 KiB |
|
|
|
py3-allfiles-pyc-1.0-r8.apk
|
3.3 KiB |
|
|
|
py3-altgraph-0.17.4-r1.apk
|
21 KiB |
|
|
|
py3-altgraph-pyc-0.17.4-r1.apk
|
29 KiB |
|
|
|
py3-ansi2html-1.9.2-r0.apk
|
18 KiB |
|
|
|
py3-ansi2html-pyc-1.9.2-r0.apk
|
22 KiB |
|
|
|
py3-anyascii-0.3.2-r1.apk
|
275 KiB |
|
|
|
py3-anyascii-pyc-0.3.2-r1.apk
|
3.3 KiB |
|
|
|
py3-apicula-0.11.1-r1.apk
|
8.5 MiB |
|
|
|
py3-apicula-pyc-0.11.1-r1.apk
|
180 KiB |
|
|
|
py3-apio-0.9.5-r0.apk
|
72 KiB |
|
|
|
py3-apio-pyc-0.9.5-r0.apk
|
77 KiB |
|
|
|
py3-apsw-3.49.1.0-r0.apk
|
822 KiB |
|
|
|
py3-apsw-pyc-3.49.1.0-r0.apk
|
526 KiB |
|
|
|
py3-arcus-5.3.0-r5.apk
|
89 KiB |
|
|
|
py3-asif-0.3.2-r3.apk
|
13 KiB |
|
|
|
py3-asif-pyc-0.3.2-r3.apk
|
26 KiB |
|
|
|
py3-ask-0.0.8-r8.apk
|
5.0 KiB |
|
|
|
py3-ask-pyc-0.0.8-r8.apk
|
4.5 KiB |
|
|
|
py3-astral-3.2-r3.apk
|
37 KiB |
|
|
|
py3-astral-pyc-3.2-r3.apk
|
59 KiB |
|
|
|
py3-asyauth-0.0.21-r1.apk
|
77 KiB |
|
|
|
py3-asyauth-pyc-0.0.21-r1.apk
|
169 KiB |
|
|
|
py3-async-lru-2.0.5-r0.apk
|
7.0 KiB |
|
|
|
py3-async-lru-pyc-2.0.5-r0.apk
|
8.5 KiB |
|
|
|
py3-asysocks-0.2.13-r1.apk
|
84 KiB |
|
|
|
py3-asysocks-pyc-0.2.13-r1.apk
|
229 KiB |
|
|
|
py3-avro-1.11.3-r1.apk
|
98 KiB |
|
|
|
py3-avro-pyc-1.11.3-r1.apk
|
191 KiB |
|
|
|
py3-b2sdk-2.8.1-r0.apk
|
215 KiB |
|
|
|
py3-b2sdk-pyc-2.8.1-r0.apk
|
403 KiB |
|
|
|
py3-banal-1.0.6-r4.apk
|
6.9 KiB |
|
|
|
py3-banal-pyc-1.0.6-r4.apk
|
7.2 KiB |
|
|
|
py3-bandwidth-sdk-3.1.0-r8.apk
|
46 KiB |
|
|
|
py3-bandwidth-sdk-pyc-3.1.0-r8.apk
|
69 KiB |
|
|
|
py3-barcodenumber-0.2.1-r10.apk
|
16 KiB |
|
|
|
py3-barcodenumber-pyc-0.2.1-r10.apk
|
4.3 KiB |
|
|
|
py3-base58-2.1.1-r2.apk
|
11 KiB |
|
|
|
py3-beartype-0.21.0-r0.apk
|
926 KiB |
|
|
|
py3-beartype-pyc-0.21.0-r0.apk
|
673 KiB |
|
|
|
py3-bencode-4.0.0-r1.apk
|
17 KiB |
|
|
|
py3-bencode-pyc-4.0.0-r1.apk
|
10 KiB |
|
|
|
py3-bibtexparser-1.4.3-r0.apk
|
40 KiB |
|
|
|
py3-bibtexparser-pyc-1.4.3-r0.apk
|
49 KiB |
|
|
|
py3-bidict-0.23.1-r1.apk
|
28 KiB |
|
|
|
py3-bidict-pyc-0.23.1-r1.apk
|
29 KiB |
|
|
|
py3-bite-parser-0.2.5-r0.apk
|
14 KiB |
|
|
|
py3-bite-parser-pyc-0.2.5-r0.apk
|
24 KiB |
|
|
|
py3-bitstruct-8.19.0-r1.apk
|
35 KiB |
|
|
|
py3-bitstruct-pyc-8.19.0-r1.apk
|
13 KiB |
|
|
|
py3-bleak-0.22.3-r0.apk
|
370 KiB |
|
|
|
py3-blockchain-1.4.4-r7.apk
|
11 KiB |
|
|
|
py3-blockchain-pyc-1.4.4-r7.apk
|
18 KiB |
|
|
|
py3-blockdiag-3.0.0-r6.apk
|
68 KiB |
|
|
|
py3-blockdiag-pyc-3.0.0-r6.apk
|
149 KiB |
|
|
|
py3-blockdiag-tests-3.0.0-r6.apk
|
2.5 MiB |
|
|
|
py3-bookkeeper-4.17.1-r0.apk
|
43 KiB |
|
|
|
py3-bookkeeper-pyc-4.17.1-r0.apk
|
67 KiB |
|
|
|
py3-bottle-api-0.0.4-r7.apk
|
4.9 KiB |
|
|
|
py3-bottle-api-pyc-0.0.4-r7.apk
|
5.2 KiB |
|
|
|
py3-bottle-pgsql-0.2-r5.apk
|
4.3 KiB |
|
|
|
py3-bottle-redis-0.2.3-r6.apk
|
3.3 KiB |
|
|
|
py3-bottle-redis-pyc-0.2.3-r6.apk
|
3.1 KiB |
|
|
|
py3-bottle-renderer-0.1.1-r9.apk
|
4.0 KiB |
|
|
|
py3-bottle-renderer-pyc-0.1.1-r9.apk
|
3.7 KiB |
|
|
|
py3-bottle-request-0.2.0-r9.apk
|
3.2 KiB |
|
|
|
py3-bottle-request-pyc-0.2.0-r9.apk
|
2.6 KiB |
|
|
|
py3-bottle-rest-0.6.0-r1.apk
|
6.1 KiB |
|
|
|
py3-bottle-rest-pyc-0.6.0-r1.apk
|
5.2 KiB |
|
|
|
py3-bottle-session-1.0-r6.apk
|
10 KiB |
|
|
|
py3-bottle-session-pyc-1.0-r6.apk
|
7.8 KiB |
|
|
|
py3-bottle-sqlalchemy-0.4.3-r8.apk
|
4.8 KiB |
|
|
|
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk
|
5.6 KiB |
|
|
|
py3-bottle-sqlite-0.2.0-r7.apk
|
4.7 KiB |
|
|
|
py3-bottle-sqlite-pyc-0.2.0-r7.apk
|
5.2 KiB |
|
|
|
py3-bottle-websocket-0.2.9-r8.apk
|
4.6 KiB |
|
|
|
py3-bottle-websocket-pyc-0.2.9-r8.apk
|
3.1 KiB |
|
|
|
py3-bottle-werkzeug-0.1.1-r9.apk
|
4.1 KiB |
|
|
|
py3-bottle-werkzeug-pyc-0.1.1-r9.apk
|
4.3 KiB |
|
|
|
py3-bson-0.5.10-r6.apk
|
12 KiB |
|
|
|
py3-bson-pyc-0.5.10-r6.apk
|
18 KiB |
|
|
|
py3-businesstime-0.3.0-r9.apk
|
11 KiB |
|
|
|
py3-businesstime-pyc-0.3.0-r9.apk
|
16 KiB |
|
|
|
py3-c3d-0.5.2-r1.apk
|
32 KiB |
|
|
|
py3-c3d-pyc-0.5.2-r1.apk
|
54 KiB |
|
|
|
py3-caldav-2.0.1-r0.apk
|
88 KiB |
|
|
|
py3-caldav-pyc-2.0.1-r0.apk
|
118 KiB |
|
|
|
py3-cassandra-driver-3.29.2-r0.apk
|
286 KiB |
|
|
|
py3-cassandra-driver-pyc-3.29.2-r0.apk
|
560 KiB |
|
|
|
py3-catkin-pkg-0.5.2-r4.apk
|
57 KiB |
|
|
|
py3-catkin-pkg-pyc-0.5.2-r4.apk
|
103 KiB |
|
|
|
py3-cchardet-2.1.7-r5.apk
|
124 KiB |
|
|
|
py3-cchardet-pyc-2.1.7-r5.apk
|
3.1 KiB |
|
|
|
py3-cdio-2.1.1-r6.apk
|
102 KiB |
|
|
|
py3-cdio-pyc-2.1.1-r6.apk
|
43 KiB |
|
|
|
py3-certauth-1.3.0-r1.apk
|
8.7 KiB |
|
|
|
py3-certauth-pyc-1.3.0-r1.apk
|
9.1 KiB |
|
|
|
py3-chameleon-4.5.4-r0.apk
|
98 KiB |
|
|
|
py3-chameleon-pyc-4.5.4-r0.apk
|
131 KiB |
|
|
|
py3-ciso8601-2.3.1-r1.apk
|
16 KiB |
|
|
|
py3-cjkwrap-2.2-r6.apk
|
4.5 KiB |
|
|
|
py3-cjkwrap-pyc-2.2-r6.apk
|
5.2 KiB |
|
|
|
py3-class-doc-1.25-r1.apk
|
6.1 KiB |
|
|
|
py3-class-doc-pyc-1.25-r1.apk
|
8.7 KiB |
|
|
|
py3-click-completion-0.5.2-r1.apk
|
11 KiB |
|
|
|
py3-click-completion-pyc-0.5.2-r1.apk
|
14 KiB |
|
|
|
py3-click-default-group-1.2.4-r1.apk
|
5.1 KiB |
|
|
|
py3-click-default-group-pyc-1.2.4-r1.apk
|
4.5 KiB |
|
|
|
py3-click-threading-0.5.0-r5.apk
|
6.3 KiB |
|
|
|
py3-click-threading-pyc-0.5.0-r5.apk
|
7.9 KiB |
|
|
|
py3-clickclick-20.10.2-r4.apk
|
8.0 KiB |
|
|
|
py3-clickclick-pyc-20.10.2-r4.apk
|
9.8 KiB |
|
|
|
py3-cmd2-2.4.3-r2.apk
|
139 KiB |
|
|
|
py3-cmd2-pyc-2.4.3-r2.apk
|
223 KiB |
|
|
|
py3-cobs-1.2.0-r4.apk
|
19 KiB |
|
|
|
py3-cobs-pyc-1.2.0-r4.apk
|
12 KiB |
|
|
|
py3-colander-2.0-r2.apk
|
62 KiB |
|
|
|
py3-colander-pyc-2.0-r2.apk
|
42 KiB |
|
|
|
py3-colorthief-0.2.1-r1.apk
|
7.3 KiB |
|
|
|
py3-colorthief-pyc-0.2.1-r1.apk
|
10 KiB |
|
|
|
py3-columnize-0.3.11-r4.apk
|
8.5 KiB |
|
|
|
py3-columnize-pyc-0.3.11-r4.apk
|
7.5 KiB |
|
|
|
py3-compdb-0.2.0-r8.apk
|
23 KiB |
|
|
|
py3-compdb-doc-0.2.0-r8.apk
|
3.0 KiB |
|
|
|
py3-compdb-pyc-0.2.0-r8.apk
|
40 KiB |
|
|
|
py3-cookiecutter-2.6.0-r1.apk
|
35 KiB |
|
|
|
py3-cookiecutter-doc-2.6.0-r1.apk
|
3.7 KiB |
|
|
|
py3-cookiecutter-pyc-2.6.0-r1.apk
|
48 KiB |
|
|
|
py3-coreapi-2.3.3-r9.apk
|
22 KiB |
|
|
|
py3-coreapi-pyc-2.3.3-r9.apk
|
43 KiB |
|
|
|
py3-crc16-0.1.1-r10.apk
|
12 KiB |
|
|
|
py3-crc16-pyc-0.1.1-r10.apk
|
4.7 KiB |
|
|
|
py3-createrepo_c-1.1.4-r0.apk
|
42 KiB |
|
|
|
py3-createrepo_c-pyc-1.1.4-r0.apk
|
14 KiB |
|
|
|
py3-cryptg-0.5.0-r0.apk
|
195 KiB |
|
|
|
py3-cryptg-pyc-0.5.0-r0.apk
|
1.9 KiB |
|
|
|
py3-cssutils-2.11.1-r1.apk
|
155 KiB |
|
|
|
py3-cssutils-pyc-2.11.1-r1.apk
|
279 KiB |
|
|
|
py3-cstruct-5.3-r1.apk
|
22 KiB |
|
|
|
py3-cstruct-pyc-5.3-r1.apk
|
36 KiB |
|
|
|
py3-cucumber-tag-expressions-6.1.1-r0.apk
|
8.7 KiB |
|
|
|
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk
|
10 KiB |
|
|
|
py3-cvxpy-1.2.1-r5.apk
|
680 KiB |
|
|
|
py3-cvxpy-pyc-1.2.1-r5.apk
|
936 KiB |
|
|
|
py3-cython-test-exception-raiser-1.0.2-r0.apk
|
18 KiB |
|
|
|
py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk
|
1.8 KiB |
|
|
|
py3-dash-bootstrap-components-1.6.0-r0.apk
|
16 KiB |
|
|
|
py3-dataclasses-json-0.6.7-r0.apk
|
27 KiB |
|
|
|
py3-dataclasses-json-pyc-0.6.7-r0.apk
|
36 KiB |
|
|
|
py3-dataclasses-serialization-1.3.1-r3.apk
|
11 KiB |
|
|
|
py3-dataclasses-serialization-pyc-1.3.1-r3.apk
|
14 KiB |
|
|
|
py3-dateparser-1.2.0-r0.apk
|
197 KiB |
|
|
|
py3-dateparser-pyc-1.2.0-r0.apk
|
334 KiB |
|
|
|
py3-daterangestr-0.0.3-r8.apk
|
4.4 KiB |
|
|
|
py3-daterangestr-pyc-0.0.3-r8.apk
|
4.2 KiB |
|
|
|
py3-dbus-fast-2.44.1-r0.apk
|
873 KiB |
|
|
|
py3-dbus-fast-doc-2.44.1-r0.apk
|
6.2 KiB |
|
|
|
py3-dbus-fast-pyc-2.44.1-r0.apk
|
129 KiB |
|
|
|
py3-deluge-client-1.10.2-r0.apk
|
13 KiB |
|
|
|
py3-deluge-client-doc-1.10.2-r0.apk
|
2.3 KiB |
|
|
|
py3-deluge-client-pyc-1.10.2-r0.apk
|
20 KiB |
|
|
|
py3-dexml-0.5.1-r9.apk
|
22 KiB |
|
|
|
py3-dexml-pyc-0.5.1-r9.apk
|
38 KiB |
|
|
|
py3-discid-1.2.0-r6.apk
|
24 KiB |
|
|
|
py3-discid-pyc-1.2.0-r6.apk
|
13 KiB |
|
|
|
py3-distorm3-3.5.2-r6.apk
|
47 KiB |
|
|
|
py3-distorm3-pyc-3.5.2-r6.apk
|
49 KiB |
|
|
|
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk
|
15 KiB |
|
|
|
py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk
|
15 KiB |
|
|
|
py3-django-suit-0.2.28-r8.apk
|
366 KiB |
|
|
|
py3-django-suit-pyc-0.2.28-r8.apk
|
32 KiB |
|
|
|
py3-django-taggit-serializer-0.1.7-r8.apk
|
4.0 KiB |
|
|
|
py3-django-taggit-serializer-pyc-0.1.7-r8.apk
|
5.0 KiB |
|
|
|
py3-dnslib-0.9.25-r0.apk
|
52 KiB |
|
|
|
py3-dnslib-pyc-0.9.25-r0.apk
|
109 KiB |
|
|
|
py3-dogpile.cache-1.3.3-r1.apk
|
53 KiB |
|
|
|
py3-dogpile.cache-pyc-1.3.3-r1.apk
|
90 KiB |
|
|
|
py3-doi-0.2-r0.apk
|
6.2 KiB |
|
|
|
py3-doi-pyc-0.2-r0.apk
|
4.7 KiB |
|
|
|
py3-doit-0.36.0-r5.apk
|
76 KiB |
|
|
|
py3-doit-pyc-0.36.0-r5.apk
|
133 KiB |
|
|
|
py3-dominate-2.9.1-r1.apk
|
25 KiB |
|
|
|
py3-dominate-pyc-2.9.1-r1.apk
|
34 KiB |
|
|
|
py3-dotty-dict-1.3.1-r4.apk
|
8.4 KiB |
|
|
|
py3-dotty-dict-pyc-1.3.1-r4.apk
|
8.7 KiB |
|
|
|
py3-downloader-cli-0.3.4-r2.apk
|
11 KiB |
|
|
|
py3-downloader-cli-pyc-0.3.4-r2.apk
|
14 KiB |
|
|
|
py3-dpath-2.2.0-r0.apk
|
17 KiB |
|
|
|
py3-dpath-pyc-2.2.0-r0.apk
|
18 KiB |
|
|
|
py3-drf-yasg-1.21.7-r2.apk
|
4.1 MiB |
|
|
|
py3-drf-yasg-pyc-1.21.7-r2.apk
|
97 KiB |
|
|
|
py3-dunamai-1.24.1-r0.apk
|
26 KiB |
|
|
|
py3-dunamai-pyc-1.24.1-r0.apk
|
43 KiB |
|
|
|
py3-duniterpy-1.1.1-r3.apk
|
221 KiB |
|
|
|
py3-dweepy-0.3.0-r7.apk
|
9.1 KiB |
|
|
|
py3-dweepy-pyc-0.3.0-r7.apk
|
6.3 KiB |
|
|
|
py3-ecbdata-0.1.1-r0.apk
|
14 KiB |
|
|
|
py3-ecos-2.0.11-r4.apk
|
28 KiB |
|
|
|
py3-ecos-pyc-2.0.11-r4.apk
|
3.6 KiB |
|
|
|
py3-edalize-0.5.4-r0.apk
|
123 KiB |
|
|
|
py3-edalize-pyc-0.5.4-r0.apk
|
190 KiB |
|
|
|
py3-editdistance-s-1.0.0-r6.apk
|
17 KiB |
|
|
|
py3-editdistance-s-pyc-1.0.0-r6.apk
|
2.0 KiB |
|
|
|
py3-empy-3.3.4-r7.apk
|
39 KiB |
|
|
|
py3-empy-pyc-3.3.4-r7.apk
|
58 KiB |
|
|
|
py3-enzyme-0.5.1-r1.apk
|
23 KiB |
|
|
|
py3-enzyme-pyc-0.5.1-r1.apk
|
19 KiB |
|
|
|
py3-eradicate-2.3.0-r2.apk
|
7.6 KiB |
|
|
|
py3-eradicate-doc-2.3.0-r2.apk
|
2.5 KiB |
|
|
|
py3-eradicate-pyc-2.3.0-r2.apk
|
8.3 KiB |
|
|
|
py3-euclid3-0.01-r8.apk
|
14 KiB |
|
|
|
py3-euclid3-pyc-0.01-r8.apk
|
33 KiB |
|
|
|
py3-eventlet-0.38.1-r0.apk
|
332 KiB |
|
|
|
py3-eventlet-pyc-0.38.1-r0.apk
|
336 KiB |
|
|
|
py3-evohome-client-0.3.7-r4.apk
|
19 KiB |
|
|
|
py3-evohome-client-pyc-0.3.7-r4.apk
|
27 KiB |
|
|
|
py3-fastdiff-0.3.0-r5.apk
|
38 KiB |
|
|
|
py3-fastdiff-pyc-0.3.0-r5.apk
|
4.2 KiB |
|
|
|
py3-feedgen-1.0.0-r1.apk
|
40 KiB |
|
|
|
py3-feedgen-pyc-1.0.0-r1.apk
|
62 KiB |
|
|
|
py3-feedgenerator-2.1.0-r2.apk
|
18 KiB |
|
|
|
py3-feedgenerator-pyc-2.1.0-r2.apk
|
27 KiB |
|
|
|
py3-ffmpeg-0.2.0-r5.apk
|
24 KiB |
|
|
|
py3-ffmpeg-pyc-0.2.0-r5.apk
|
33 KiB |
|
|
|
py3-firmata-1.0.3-r10.apk
|
14 KiB |
|
|
|
py3-firmata-pyc-1.0.3-r10.apk
|
21 KiB |
|
|
|
py3-flake8-blind-except-0.2.1-r4.apk
|
5.2 KiB |
|
|
|
py3-flake8-blind-except-pyc-0.2.1-r4.apk
|
2.6 KiB |
|
|
|
py3-flake8-builtins-2.5.0-r0.apk
|
13 KiB |
|
|
|
py3-flake8-builtins-pyc-2.5.0-r0.apk
|
7.9 KiB |
|
|
|
py3-flake8-copyright-0.2.4-r3.apk
|
18 KiB |
|
|
|
py3-flake8-copyright-pyc-0.2.4-r3.apk
|
3.3 KiB |
|
|
|
py3-flake8-debugger-4.1.2-r4.apk
|
6.2 KiB |
|
|
|
py3-flake8-debugger-pyc-4.1.2-r4.apk
|
6.0 KiB |
|
|
|
py3-flake8-import-order-0.18.2-r4.apk
|
15 KiB |
|
|
|
py3-flake8-import-order-pyc-0.18.2-r4.apk
|
17 KiB |
|
|
|
py3-flake8-isort-6.1.1-r1.apk
|
18 KiB |
|
|
|
py3-flake8-isort-pyc-6.1.1-r1.apk
|
5.4 KiB |
|
|
|
py3-flake8-polyfill-1.0.2-r5.apk
|
7.1 KiB |
|
|
|
py3-flake8-polyfill-pyc-1.0.2-r5.apk
|
5.7 KiB |
|
|
|
py3-flake8-print-5.0.0-r5.apk
|
6.7 KiB |
|
|
|
py3-flake8-print-pyc-5.0.0-r5.apk
|
4.4 KiB |
|
|
|
py3-flake8-snippets-0.2-r8.apk
|
5.3 KiB |
|
|
|
py3-flake8-snippets-pyc-0.2-r8.apk
|
3.7 KiB |
|
|
|
py3-flake8-todo-0.7-r7.apk
|
3.6 KiB |
|
|
|
py3-flake8-todo-pyc-0.7-r7.apk
|
2.2 KiB |
|
|
|
py3-flask-accept-0.0.6-r1.apk
|
5.0 KiB |
|
|
|
py3-flask-accept-pyc-0.0.6-r1.apk
|
3.7 KiB |
|
|
|
py3-flask-admin-1.6.1-r3.apk
|
6.5 MiB |
|
|
|
py3-flask-admin-pyc-1.6.1-r3.apk
|
358 KiB |
|
|
|
py3-flask-autorouter-0.2.2-r3.apk
|
5.1 KiB |
|
|
|
py3-flask-autorouter-pyc-0.2.2-r3.apk
|
5.0 KiB |
|
|
|
py3-flask-basicauth-0.2.0-r9.apk
|
5.3 KiB |
|
|
|
py3-flask-basicauth-pyc-0.2.0-r9.apk
|
4.1 KiB |
|
|
|
py3-flask-bcrypt-1.0.1-r5.apk
|
7.1 KiB |
|
|
|
py3-flask-bcrypt-pyc-1.0.1-r5.apk
|
5.8 KiB |
|
|
|
py3-flask-bootstrap-3.3.7.1-r9.apk
|
449 KiB |
|
|
|
py3-flask-bootstrap-pyc-3.3.7.1-r9.apk
|
11 KiB |
|
|
|
py3-flask-cache-0.13.1-r9.apk
|
13 KiB |
|
|
|
py3-flask-cache-pyc-0.13.1-r9.apk
|
18 KiB |
|
|
|
py3-flask-cdn-1.5.3-r8.apk
|
4.8 KiB |
|
|
|
py3-flask-cdn-pyc-1.5.3-r8.apk
|
4.1 KiB |
|
|
|
py3-flask-components-0.1.1-r9.apk
|
3.9 KiB |
|
|
|
py3-flask-components-pyc-0.1.1-r9.apk
|
3.3 KiB |
|
|
|
py3-flask-dbconfig-0.3.12-r8.apk
|
86 KiB |
|
|
|
py3-flask-dbconfig-pyc-0.3.12-r8.apk
|
6.3 KiB |
|
|
|
py3-flask-flatpages-0.8.3-r0.apk
|
11 KiB |
|
|
|
py3-flask-flatpages-pyc-0.8.3-r0.apk
|
14 KiB |
|
|
|
py3-flask-gzip-0.2-r8.apk
|
3.2 KiB |
|
|
|
py3-flask-gzip-pyc-0.2-r8.apk
|
2.8 KiB |
|
|
|
py3-flask-headers-1.0-r9.apk
|
3.2 KiB |
|
|
|
py3-flask-headers-pyc-1.0-r9.apk
|
2.5 KiB |
|
|
|
py3-flask-httpauth-4.8.0-r2.apk
|
8.0 KiB |
|
|
|
py3-flask-httpauth-pyc-4.8.0-r2.apk
|
11 KiB |
|
|
|
py3-flask-json-schema-0.0.5-r4.apk
|
4.1 KiB |
|
|
|
py3-flask-json-schema-pyc-0.0.5-r4.apk
|
3.4 KiB |
|
|
|
py3-flask-limiter-3.10.1-r0.apk
|
27 KiB |
|
|
|
py3-flask-limiter-pyc-3.10.1-r0.apk
|
47 KiB |
|
|
|
py3-flask-loopback-1.4.7-r7.apk
|
5.6 KiB |
|
|
|
py3-flask-loopback-pyc-1.4.7-r7.apk
|
7.9 KiB |
|
|
|
py3-flask-mailman-1.1.1-r0.apk
|
16 KiB |
|
|
|
py3-flask-mailman-pyc-1.1.1-r0.apk
|
26 KiB |
|
|
|
py3-flask-markdown-0.3-r8.apk
|
5.6 KiB |
|
|
|
py3-flask-markdown-pyc-0.3-r8.apk
|
3.9 KiB |
|
|
|
py3-flask-migrate-4.0.7-r0.apk
|
13 KiB |
|
|
|
py3-flask-migrate-pyc-4.0.7-r0.apk
|
18 KiB |
|
|
|
py3-flask-paginate-0.8.1-r6.apk
|
8.2 KiB |
|
|
|
py3-flask-paginate-pyc-0.8.1-r6.apk
|
11 KiB |
|
|
|
py3-flask-peewee-3.0.6-r0.apk
|
172 KiB |
|
|
|
py3-flask-peewee-pyc-3.0.6-r0.apk
|
96 KiB |
|
|
|
py3-flask-qrcode-3.2.0-r0.apk
|
18 KiB |
|
|
|
py3-flask-qrcode-pyc-3.2.0-r0.apk
|
6.2 KiB |
|
|
|
py3-flask-restaction-0.25.3-r8.apk
|
115 KiB |
|
|
|
py3-flask-restaction-pyc-0.25.3-r8.apk
|
20 KiB |
|
|
|
py3-flask-restless-0.17.0-r9.apk
|
40 KiB |
|
|
|
py3-flask-restless-pyc-0.17.0-r9.apk
|
59 KiB |
|
|
|
py3-flask-security-5.6.1-r0.apk
|
294 KiB |
|
|
|
py3-flask-security-pyc-5.6.1-r0.apk
|
227 KiB |
|
|
|
py3-flask-themer-2.0.0-r2.apk
|
7.9 KiB |
|
|
|
py3-flask-themer-pyc-2.0.0-r2.apk
|
7.0 KiB |
|
|
|
py3-forbiddenfruit-0.1.4-r2.apk
|
9.0 KiB |
|
|
|
py3-forbiddenfruit-pyc-0.1.4-r2.apk
|
9.7 KiB |
|
|
|
py3-fpdf-1.7.2-r5.apk
|
40 KiB |
|
|
|
py3-fpdf-pyc-1.7.2-r5.apk
|
89 KiB |
|
|
|
py3-freetype-py-2.5.1-r0.apk
|
161 KiB |
|
|
|
py3-furl-2.1.3-r4.apk
|
21 KiB |
|
|
|
py3-furl-pyc-2.1.3-r4.apk
|
32 KiB |
|
|
|
py3-gdcm-3.0.24-r0.apk
|
690 KiB |
|
|
|
py3-geoip-1.3.2-r4.apk
|
23 KiB |
|
|
|
py3-gevent-websocket-0.10.1-r8.apk
|
20 KiB |
|
|
|
py3-gevent-websocket-pyc-0.10.1-r8.apk
|
30 KiB |
|
|
|
py3-git-versioner-7.1-r1.apk
|
12 KiB |
|
|
|
py3-git-versioner-pyc-7.1-r1.apk
|
14 KiB |
|
|
|
py3-github3-4.0.1-r1.apk
|
128 KiB |
|
|
|
py3-github3-pyc-4.0.1-r1.apk
|
227 KiB |
|
|
|
py3-glob2-0.7-r6.apk
|
10 KiB |
|
|
|
py3-glob2-pyc-0.7-r6.apk
|
13 KiB |
|
|
|
py3-gls-1.3.1-r1.apk
|
47 KiB |
|
|
|
py3-gls-pyc-1.3.1-r1.apk
|
84 KiB |
|
|
|
py3-google-trans-new-1.1.9-r2.apk
|
9.2 KiB |
|
|
|
py3-google-trans-new-pyc-1.1.9-r2.apk
|
11 KiB |
|
|
|
py3-googletrans-3.0.0-r5.apk
|
16 KiB |
|
|
|
py3-googletrans-pyc-3.0.0-r5.apk
|
17 KiB |
|
|
|
py3-grequests-0.7.0-r3.apk
|
6.7 KiB |
|
|
|
py3-grequests-pyc-0.7.0-r3.apk
|
5.8 KiB |
|
|
|
py3-gtkspellcheck-5.0.3-r0.apk
|
45 KiB |
|
|
|
py3-gtkspellcheck-pyc-5.0.3-r0.apk
|
30 KiB |
|
|
|
py3-halo-0.0.31-r5.apk
|
12 KiB |
|
|
|
py3-halo-pyc-0.0.31-r5.apk
|
14 KiB |
|
|
|
py3-hatch-openzim-0.2.0-r0.apk
|
24 KiB |
|
|
|
py3-hatch-openzim-bootstrap-0.1.0-r0.apk
|
24 KiB |
|
|
|
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk
|
13 KiB |
|
|
|
py3-hatch-openzim-pyc-0.2.0-r0.apk
|
13 KiB |
|
|
|
py3-helper-2.5.0-r5.apk
|
19 KiB |
|
|
|
py3-helper-pyc-2.5.0-r5.apk
|
28 KiB |
|
|
|
py3-hfst-3.16.2-r0.apk
|
382 KiB |
|
|
|
py3-hg-git-1.1.1-r1.apk
|
70 KiB |
|
|
|
py3-hg-git-pyc-1.1.1-r1.apk
|
107 KiB |
|
|
|
py3-hishel-0.1.2-r0.apk
|
33 KiB |
|
|
|
py3-hishel-pyc-0.1.2-r0.apk
|
73 KiB |
|
|
|
py3-html5-parser-0.4.12-r1.apk
|
167 KiB |
|
|
|
py3-html5-parser-pyc-0.4.12-r1.apk
|
22 KiB |
|
|
|
py3-hurry.filesize-0.9-r8.apk
|
4.6 KiB |
|
|
|
py3-hurry.filesize-pyc-0.9-r8.apk
|
3.3 KiB |
|
|
|
py3-igraph-0.11.9-r0.apk
|
405 KiB |
|
|
|
py3-igraph-dev-0.11.9-r0.apk
|
2.6 KiB |
|
|
|
py3-igraph-pyc-0.11.9-r0.apk
|
371 KiB |
|
|
|
py3-imageio-2.35.1-r0.apk
|
288 KiB |
|
|
|
py3-imageio-ffmpeg-0.4.9-r1.apk
|
16 KiB |
|
|
|
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk
|
20 KiB |
|
|
|
py3-imageio-pyc-2.35.1-r0.apk
|
504 KiB |
|
|
|
py3-imdbpy-2021.4.18-r5.apk
|
229 KiB |
|
|
|
py3-imdbpy-pyc-2021.4.18-r5.apk
|
243 KiB |
|
|
|
py3-incoming-0.3.1-r8.apk
|
13 KiB |
|
|
|
py3-incoming-pyc-0.3.1-r8.apk
|
20 KiB |
|
|
|
py3-infinity-1.5-r6.apk
|
4.4 KiB |
|
|
|
py3-infinity-pyc-1.5-r6.apk
|
3.7 KiB |
|
|
|
py3-iniparse-0.5-r7.apk
|
19 KiB |
|
|
|
py3-iniparse-doc-0.5-r7.apk
|
10 KiB |
|
|
|
py3-iniparse-pyc-0.5-r7.apk
|
25 KiB |
|
|
|
py3-intervals-0.9.2-r5.apk
|
9.4 KiB |
|
|
|
py3-intervals-pyc-0.9.2-r5.apk
|
15 KiB |
|
|
|
py3-ioctl-opt-1.3-r0.apk
|
12 KiB |
|
|
|
py3-ioctl-opt-pyc-1.3-r0.apk
|
4.6 KiB |
|
|
|
py3-irc-20.4.1-r0.apk
|
41 KiB |
|
|
|
py3-irc-pyc-20.4.1-r0.apk
|
71 KiB |
|
|
|
py3-isbnlib-3.10.14-r0.apk
|
43 KiB |
|
|
|
py3-isbnlib-pyc-3.10.14-r0.apk
|
67 KiB |
|
|
|
py3-iso639-lang-2.2.3-r0.apk
|
269 KiB |
|
|
|
py3-iso639-lang-pyc-2.2.3-r0.apk
|
9.7 KiB |
|
|
|
py3-itemadapter-0.10.0-r0.apk
|
11 KiB |
|
|
|
py3-itemadapter-pyc-0.10.0-r0.apk
|
13 KiB |
|
|
|
py3-itemloaders-1.3.2-r0.apk
|
12 KiB |
|
|
|
py3-itemloaders-pyc-1.3.2-r0.apk
|
17 KiB |
|
|
|
py3-iterable-io-1.0.0-r0.apk
|
6.0 KiB |
|
|
|
py3-iterable-io-pyc-1.0.0-r0.apk
|
5.3 KiB |
|
|
|
py3-itunespy-1.6-r5.apk
|
9.7 KiB |
|
|
|
py3-itunespy-pyc-1.6-r5.apk
|
15 KiB |
|
|
|
py3-janus-1.2.0-r0.apk
|
12 KiB |
|
|
|
py3-janus-pyc-1.2.0-r0.apk
|
13 KiB |
|
|
|
py3-jaraco.logging-3.4.0-r0.apk
|
5.3 KiB |
|
|
|
py3-jaraco.logging-pyc-3.4.0-r0.apk
|
6.1 KiB |
|
|
|
py3-jaraco.path-3.7.2-r0.apk
|
7.6 KiB |
|
|
|
py3-jaraco.path-pyc-3.7.2-r0.apk
|
9.5 KiB |
|
|
|
py3-jaraco.stream-3.0.4-r0.apk
|
6.7 KiB |
|
|
|
py3-jaraco.stream-pyc-3.0.4-r0.apk
|
8.1 KiB |
|
|
|
py3-jaraco.vcs-2.4.1-r0.apk
|
10 KiB |
|
|
|
py3-jaraco.vcs-pyc-2.4.1-r0.apk
|
16 KiB |
|
|
|
py3-jaraco.versioning-1.1.0-r0.apk
|
5.9 KiB |
|
|
|
py3-jaraco.versioning-pyc-1.1.0-r0.apk
|
6.1 KiB |
|
|
|
py3-joserfc-1.1.0-r0.apk
|
49 KiB |
|
|
|
py3-joserfc-pyc-1.1.0-r0.apk
|
102 KiB |
|
|
|
py3-json5-0.9.25-r0.apk
|
25 KiB |
|
|
|
py3-json5-pyc-0.9.25-r0.apk
|
29 KiB |
|
|
|
py3-junit-xml-1.9-r3.apk
|
8.3 KiB |
|
|
|
py3-junit-xml-pyc-1.9-r3.apk
|
9.3 KiB |
|
|
|
py3-jupyterlab3-3.6.7-r0.apk
|
14 MiB |
|
|
|
py3-jupyterlab_server-2.27.3-r0.apk
|
124 KiB |
|
|
|
py3-kazoo-0_git20211202-r4.apk
|
125 KiB |
|
|
|
py3-kazoo-pyc-0_git20211202-r4.apk
|
244 KiB |
|
|
|
py3-keepalive-0.5-r5.apk
|
9.0 KiB |
|
|
|
py3-keepalive-doc-0.5-r5.apk
|
2.0 KiB |
|
|
|
py3-keepalive-pyc-0.5-r5.apk
|
13 KiB |
|
|
|
py3-kerberos-1.3.1-r5.apk
|
17 KiB |
|
|
|
py3-kikit-1.7.2-r0.apk
|
239 KiB |
|
|
|
py3-kikit-pyc-1.7.2-r0.apk
|
277 KiB |
|
|
|
py3-landlock-1.0.0_pre4-r2.apk
|
8.4 KiB |
|
|
|
py3-landlock-pyc-1.0.0_pre4-r2.apk
|
9.5 KiB |
|
|
|
py3-langcodes-3.3.0-r2.apk
|
174 KiB |
|
|
|
py3-langcodes-pyc-3.3.0-r2.apk
|
110 KiB |
|
|
|
py3-language-data-1.3.0-r0.apk
|
5.0 MiB |
|
|
|
py3-language-data-pyc-1.3.0-r0.apk
|
3.0 MiB |
|
|
|
py3-latex2mathml-3.77.0-r1.apk
|
72 KiB |
|
|
|
py3-latex2mathml-pyc-3.77.0-r1.apk
|
35 KiB |
|
|
|
py3-lib_users-0.15-r4.apk
|
16 KiB |
|
|
|
py3-lib_users-pyc-0.15-r4.apk
|
9.5 KiB |
|
|
|
py3-libacl-0.7.0-r2.apk
|
26 KiB |
|
|
|
py3-libguestfs-1.52.0-r3.apk
|
182 KiB |
|
|
|
py3-libiio-0.25-r2.apk
|
13 KiB |
|
|
|
py3-liblarch-3.2.0-r6.apk
|
30 KiB |
|
|
|
py3-liblarch-pyc-3.2.0-r6.apk
|
50 KiB |
|
|
|
py3-libmdbx-0.10.2-r7.apk
|
28 KiB |
|
|
|
py3-libmdbx-pyc-0.10.2-r7.apk
|
33 KiB |
|
|
|
py3-libnacl-2.1.0-r1.apk
|
20 KiB |
|
|
|
py3-libnacl-pyc-2.1.0-r1.apk
|
30 KiB |
|
|
|
py3-libpyshell-0.4.1-r1.apk
|
12 KiB |
|
|
|
py3-libpyshell-pyc-0.4.1-r1.apk
|
18 KiB |
|
|
|
py3-librtmp-0.3.0-r6.apk
|
35 KiB |
|
|
|
py3-librtmp-pyc-0.3.0-r6.apk
|
25 KiB |
|
|
|
py3-limits-3.14.1-r0.apk
|
34 KiB |
|
|
|
py3-limits-pyc-3.14.1-r0.apk
|
71 KiB |
|
|
|
py3-linkify-it-py-2.0.3-r1.apk
|
21 KiB |
|
|
|
py3-linkify-it-py-pyc-2.0.3-r1.apk
|
23 KiB |
|
|
|
py3-linux-procfs-0.7.3-r0.apk
|
14 KiB |
|
|
|
py3-linux-procfs-pyc-0.7.3-r0.apk
|
22 KiB |
|
|
|
py3-litex-hub-modules-2024.04-r0.apk
|
1.6 KiB |
|
|
|
py3-litex-hub-modules-pyc-2024.04-r0.apk
|
1.1 MiB |
|
|
|
py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk
|
5.6 MiB |
|
|
|
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk
|
1.9 MiB |
|
|
|
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk
|
934 KiB |
|
|
|
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk
|
500 KiB |
|
|
|
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk
|
10 MiB |
|
|
|
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk
|
1.8 MiB |
|
|
|
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk
|
112 KiB |
|
|
|
py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk
|
208 KiB |
|
|
|
py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk
|
20 MiB |
|
|
|
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk
|
45 KiB |
|
|
|
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk
|
221 KiB |
|
|
|
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk
|
7.6 KiB |
|
|
|
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk
|
230 KiB |
|
|
|
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk
|
57 MiB |
|
|
|
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk
|
675 KiB |
|
|
|
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk
|
713 KiB |
|
|
|
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk
|
2.4 MiB |
|
|
|
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk
|
58 KiB |
|
|
|
py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk
|
2.2 MiB |
|
|
|
py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk
|
4.7 MiB |
|
|
|
py3-litex-hub-valentyusb-2024.04-r0.apk
|
112 KiB |
|
|
|
py3-livestream-2.1.0-r0.apk
|
766 KiB |
|
|
|
py3-livestream-pyc-2.1.0-r0.apk
|
30 KiB |
|
|
|
py3-log-symbols-0.0.14-r5.apk
|
4.2 KiB |
|
|
|
py3-log-symbols-pyc-0.0.14-r5.apk
|
3.1 KiB |
|
|
|
py3-logtop-0.7-r0.apk
|
21 KiB |
|
|
|
py3-logtop-pyc-0.7-r0.apk
|
4.1 KiB |
|
|
|
py3-lsp-black-2.0.0-r1.apk
|
7.5 KiB |
|
|
|
py3-lsp-black-pyc-2.0.0-r1.apk
|
6.4 KiB |
|
|
|
py3-lsp-mypy-0.7.0-r0.apk
|
13 KiB |
|
|
|
py3-lsp-mypy-pyc-0.7.0-r0.apk
|
13 KiB |
|
|
|
py3-lsprotocol-2023.0.1-r1.apk
|
70 KiB |
|
|
|
py3-lsprotocol-pyc-2023.0.1-r1.apk
|
107 KiB |
|
|
|
py3-luhn-0.2.0-r9.apk
|
4.0 KiB |
|
|
|
py3-luhn-pyc-0.2.0-r9.apk
|
2.6 KiB |
|
|
|
py3-lunr-0.6.2-r4.apk
|
32 KiB |
|
|
|
py3-lunr-pyc-0.6.2-r4.apk
|
51 KiB |
|
|
|
py3-lxmf-0.7.1-r0.apk
|
51 KiB |
|
|
|
py3-lxmf-pyc-0.7.1-r0.apk
|
109 KiB |
|
|
|
py3-ly-0.9.8-r1.apk
|
187 KiB |
|
|
|
py3-ly-doc-0.9.8-r1.apk
|
8.1 KiB |
|
|
|
py3-ly-pyc-0.9.8-r1.apk
|
355 KiB |
|
|
|
py3-lzo-1.16-r1.apk
|
17 KiB |
|
|
|
py3-lzo-pyc-1.16-r1.apk
|
2.0 KiB |
|
|
|
py3-m2crypto-0.41.0-r2.apk
|
195 KiB |
|
|
|
py3-m2crypto-pyc-0.41.0-r2.apk
|
123 KiB |
|
|
|
py3-mando-0.7.1-r3.apk
|
22 KiB |
|
|
|
py3-mando-doc-0.7.1-r3.apk
|
4.2 KiB |
|
|
|
py3-mando-pyc-0.7.1-r3.apk
|
36 KiB |
|
|
|
py3-manuel-1.13.0-r0.apk
|
39 KiB |
|
|
|
py3-manuel-pyc-1.13.0-r0.apk
|
26 KiB |
|
|
|
py3-mapbox-earcut-1.0.1-r2.apk
|
63 KiB |
|
|
|
py3-marisa-trie-1.2.1-r0.apk
|
139 KiB |
|
|
|
py3-markdown2-2.5.0-r0.apk
|
47 KiB |
|
|
|
py3-markdown2-pyc-2.5.0-r0.apk
|
76 KiB |
|
|
|
py3-markdownify-1.1.0-r0.apk
|
15 KiB |
|
|
|
py3-markdownify-pyc-1.1.0-r0.apk
|
17 KiB |
|
|
|
py3-marshmallow-3.26.1-r0.apk
|
48 KiB |
|
|
|
py3-marshmallow-enum-1.5.1-r7.apk
|
5.3 KiB |
|
|
|
py3-marshmallow-enum-pyc-1.5.1-r7.apk
|
4.5 KiB |
|
|
|
py3-marshmallow-pyc-3.26.1-r0.apk
|
85 KiB |
|
|
|
py3-mbedtls-2.10.1-r3.apk
|
944 KiB |
|
|
|
py3-mbedtls-pyc-2.10.1-r3.apk
|
27 KiB |
|
|
|
py3-migen-0.9.2-r2.apk
|
143 KiB |
|
|
|
py3-migen-pyc-0.9.2-r2.apk
|
296 KiB |
|
|
|
py3-milc-1.9.1-r0.apk
|
26 KiB |
|
|
|
py3-milc-pyc-1.9.1-r0.apk
|
42 KiB |
|
|
|
py3-minidb-2.0.8-r0.apk
|
10 KiB |
|
|
|
py3-minidb-pyc-2.0.8-r0.apk
|
23 KiB |
|
|
|
py3-minidump-0.0.24-r1.apk
|
64 KiB |
|
|
|
py3-minidump-pyc-0.0.24-r1.apk
|
129 KiB |
|
|
|
py3-minikerberos-0.4.6-r1.apk
|
128 KiB |
|
|
|
py3-minikerberos-pyc-0.4.6-r1.apk
|
268 KiB |
|
|
|
py3-minio-7.2.13-r0.apk
|
76 KiB |
|
|
|
py3-minio-pyc-7.2.13-r0.apk
|
160 KiB |
|
|
|
py3-mistletoe-1.4.0-r0.apk
|
45 KiB |
|
|
|
py3-mistletoe-pyc-1.4.0-r0.apk
|
93 KiB |
|
|
|
py3-mnemonic-0.21-r0.apk
|
95 KiB |
|
|
|
py3-mnemonic-doc-0.21-r0.apk
|
2.4 KiB |
|
|
|
py3-mnemonic-pyc-0.21-r0.apk
|
9.7 KiB |
|
|
|
py3-modbus-tk-1.1.1-r4.apk
|
25 KiB |
|
|
|
py3-modbus-tk-pyc-1.1.1-r4.apk
|
48 KiB |
|
|
|
py3-modern_colorthief-0.1.7-r0.apk
|
808 KiB |
|
|
|
py3-modern_colorthief-pyc-0.1.7-r0.apk
|
2.6 KiB |
|
|
|
py3-mopidy-jellyfin-1.0.4-r4.apk
|
24 KiB |
|
|
|
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk
|
37 KiB |
|
|
|
py3-mopidy-local-3.3.0-r0.apk
|
28 KiB |
|
|
|
py3-mopidy-local-pyc-3.3.0-r0.apk
|
34 KiB |
|
|
|
py3-mopidy-mpd-3.3.0-r4.apk
|
46 KiB |
|
|
|
py3-mopidy-mpd-pyc-3.3.0-r4.apk
|
74 KiB |
|
|
|
py3-mopidy-tidal-0.3.2-r6.apk
|
25 KiB |
|
|
|
py3-mopidy-tidal-pyc-0.3.2-r6.apk
|
36 KiB |
|
|
|
py3-more-properties-1.1.1-r3.apk
|
7.4 KiB |
|
|
|
py3-more-properties-pyc-1.1.1-r3.apk
|
8.2 KiB |
|
|
|
py3-moviepy-1.0.3-r6.apk
|
94 KiB |
|
|
|
py3-moviepy-pyc-1.0.3-r6.apk
|
156 KiB |
|
|
|
py3-msldap-0.5.15-r1.apk
|
143 KiB |
|
|
|
py3-msldap-pyc-0.5.15-r1.apk
|
330 KiB |
|
|
|
py3-mss-10.0.0-r0.apk
|
51 KiB |
|
|
|
py3-natpmp-1.3.2-r1.apk
|
9.4 KiB |
|
|
|
py3-natpmp-pyc-1.3.2-r1.apk
|
9.9 KiB |
|
|
|
py3-ncclient-0.6.13-r5.apk
|
68 KiB |
|
|
|
py3-ncclient-pyc-0.6.13-r5.apk
|
106 KiB |
|
|
|
py3-netifaces2-0.0.22-r0.apk
|
195 KiB |
|
|
|
py3-netifaces2-pyc-0.0.22-r0.apk
|
9.2 KiB |
|
|
|
py3-netmiko-4.5.0-r0.apk
|
179 KiB |
|
|
|
py3-netmiko-pyc-4.5.0-r0.apk
|
348 KiB |
|
|
|
py3-nmap-0.7.1-r4.apk
|
20 KiB |
|
|
|
py3-nmap-pyc-0.7.1-r4.apk
|
26 KiB |
|
|
|
py3-nose-timer-1.0.1-r6.apk
|
9.4 KiB |
|
|
|
py3-nose-timer-pyc-1.0.1-r6.apk
|
9.9 KiB |
|
|
|
py3-notifymail-1.1-r8.apk
|
7.6 KiB |
|
|
|
py3-notifymail-pyc-1.1-r8.apk
|
5.7 KiB |
|
|
|
py3-nptyping-2.5.0-r3.apk
|
21 KiB |
|
|
|
py3-nptyping-pyc-2.5.0-r3.apk
|
32 KiB |
|
|
|
py3-ntplib-0.4.0-r5.apk
|
7.4 KiB |
|
|
|
py3-ntplib-pyc-0.4.0-r5.apk
|
8.6 KiB |
|
|
|
py3-numpy-stl-3.2.0-r0.apk
|
21 KiB |
|
|
|
py3-numpy-stl-pyc-3.2.0-r0.apk
|
28 KiB |
|
|
|
py3-nwdiag-3.0.0-r3.apk
|
4.9 MiB |
|
|
|
py3-nwdiag-pyc-3.0.0-r3.apk
|
78 KiB |
|
|
|
py3-okonomiyaki-2.0.0-r0.apk
|
7.9 MiB |
|
|
|
py3-okonomiyaki-pyc-2.0.0-r0.apk
|
243 KiB |
|
|
|
py3-openapi-codec-1.3.2-r9.apk
|
7.7 KiB |
|
|
|
py3-openapi-codec-pyc-1.3.2-r9.apk
|
12 KiB |
|
|
|
py3-opendht-3.1.11-r0.apk
|
154 KiB |
|
|
|
py3-openssh-wrapper-0.5_git20130425-r4.apk
|
8.2 KiB |
|
|
|
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk
|
10 KiB |
|
|
|
py3-openwisp-utils-1.0.4-r4.apk
|
498 KiB |
|
|
|
py3-openwisp-utils-pyc-1.0.4-r4.apk
|
42 KiB |
|
|
|
py3-orderedmultidict-1.0.1-r7.apk
|
12 KiB |
|
|
|
py3-orderedmultidict-pyc-1.0.1-r7.apk
|
17 KiB |
|
|
|
py3-ovos-audio-plugin-simple-0.0.1-r0.apk
|
10 KiB |
|
|
|
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk
|
8.2 KiB |
|
|
|
py3-ovos-backend-client-1.0.0-r0.apk
|
46 KiB |
|
|
|
py3-ovos-backend-client-pyc-1.0.0-r0.apk
|
91 KiB |
|
|
|
py3-ovos-bus-client-1.3.4-r0.apk
|
50 KiB |
|
|
|
py3-ovos-bus-client-pyc-1.3.4-r0.apk
|
89 KiB |
|
|
|
py3-ovos-classifiers-0.0.0_alpha53-r0.apk
|
103 KiB |
|
|
|
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk
|
164 KiB |
|
|
|
py3-ovos-config-1.2.2-r0.apk
|
46 KiB |
|
|
|
py3-ovos-config-pyc-1.2.2-r0.apk
|
35 KiB |
|
|
|
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk
|
358 KiB |
|
|
|
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk
|
437 KiB |
|
|
|
py3-ovos-microphone-plugin-alsa-0.1.0-r0.apk
|
9.0 KiB |
|
|
|
py3-ovos-microphone-plugin-alsa-pyc-0.1.0-r0.apk
|
4.8 KiB |
|
|
|
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk
|
550 KiB |
|
|
|
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk
|
86 KiB |
|
|
|
py3-ovos-ocp-files-plugin-0.13.1-r0.apk
|
47 KiB |
|
|
|
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk
|
104 KiB |
|
|
|
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk
|
8.1 KiB |
|
|
|
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk
|
3.4 KiB |
|
|
|
py3-ovos-ocp-news-plugin-0.0.4-r0.apk
|
11 KiB |
|
|
|
py3-ovos-ocp-news-plugin-pyc-0.0.4-r0.apk
|
8.9 KiB |
|
|
|
py3-ovos-ocp-rss-plugin-0.0.3-r0.apk
|
8.3 KiB |
|
|
|
py3-ovos-ocp-rss-plugin-pyc-0.0.3-r0.apk
|
3.7 KiB |
|
|
|
py3-ovos-phal-plugin-connectivity-events-0.1.1-r0.apk
|
8.6 KiB |
|
|
|
py3-ovos-phal-plugin-connectivity-events-pyc-0.1.1-r0.apk
|
5.0 KiB |
|
|
|
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk
|
4.5 KiB |
|
|
|
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk
|
4.4 KiB |
|
|
|
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk
|
95 KiB |
|
|
|
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk
|
11 KiB |
|
|
|
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk
|
12 KiB |
|
|
|
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk
|
9.7 KiB |
|
|
|
py3-ovos-phal-plugin-system-1.3.2-r0.apk
|
11 KiB |
|
|
|
py3-ovos-phal-plugin-system-pyc-1.3.2-r0.apk
|
10 KiB |
|
|
|
py3-ovos-plugin-manager-0.9.0-r0.apk
|
94 KiB |
|
|
|
py3-ovos-plugin-manager-pyc-0.9.0-r0.apk
|
181 KiB |
|
|
|
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk
|
10 KiB |
|
|
|
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk
|
6.8 KiB |
|
|
|
py3-ovos-translate-server-plugin-0.0.0-r0.apk
|
8.3 KiB |
|
|
|
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk
|
4.1 KiB |
|
|
|
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk
|
9.5 KiB |
|
|
|
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk
|
5.4 KiB |
|
|
|
py3-ovos-utils-0.5.4-r0.apk
|
69 KiB |
|
|
|
py3-ovos-utils-pyc-0.5.4-r0.apk
|
124 KiB |
|
|
|
py3-ovos-workshop-3.4.0-r0.apk
|
92 KiB |
|
|
|
py3-ovos-workshop-pyc-3.4.0-r0.apk
|
166 KiB |
|
|
|
py3-owslib-0.34.0-r0.apk
|
195 KiB |
|
|
|
py3-owslib-pyc-0.34.0-r0.apk
|
424 KiB |
|
|
|
py3-pacparser-1.4.5-r1.apk
|
386 KiB |
|
|
|
py3-pacparser-pyc-1.4.5-r1.apk
|
4.0 KiB |
|
|
|
py3-padacioso-0.2.1-r0.apk
|
11 KiB |
|
|
|
py3-padacioso-pyc-0.2.1-r0.apk
|
12 KiB |
|
|
|
py3-pam-2.0.2-r2.apk
|
11 KiB |
|
|
|
py3-pam-pyc-2.0.2-r2.apk
|
13 KiB |
|
|
|
py3-pathvalidate-3.2.3-r0.apk
|
19 KiB |
|
|
|
py3-pathvalidate-pyc-3.2.3-r0.apk
|
33 KiB |
|
|
|
py3-pbkdf2-1.3-r7.apk
|
6.3 KiB |
|
|
|
py3-pbkdf2-pyc-1.3-r7.apk
|
7.1 KiB |
|
|
|
py3-pcbnewtransition-0.5.0-r0.apk
|
7.7 KiB |
|
|
|
py3-pcbnewtransition-pyc-0.5.0-r0.apk
|
9.6 KiB |
|
|
|
py3-pdal-3.4.5-r1.apk
|
187 KiB |
|
|
|
py3-pdal-pyc-3.4.5-r1.apk
|
13 KiB |
|
|
|
py3-pelican-4.9.1-r2.apk
|
234 KiB |
|
|
|
py3-pelican-pyc-4.9.1-r2.apk
|
147 KiB |
|
|
|
py3-pep8-naming-0.14.1-r0.apk
|
9.8 KiB |
|
|
|
py3-pep8-naming-pyc-0.14.1-r0.apk
|
13 KiB |
|
|
|
py3-phpserialize-1.3-r8.apk
|
8.8 KiB |
|
|
|
py3-phpserialize-pyc-1.3-r8.apk
|
11 KiB |
|
|
|
py3-phx-class-registry-5.0.0-r0.apk
|
13 KiB |
|
|
|
py3-phx-class-registry-doc-5.0.0-r0.apk
|
2.2 KiB |
|
|
|
py3-phx-class-registry-pyc-5.0.0-r0.apk
|
17 KiB |
|
|
|
py3-piccata-2.0.3-r1.apk
|
20 KiB |
|
|
|
py3-piccata-pyc-2.0.3-r1.apk
|
34 KiB |
|
|
|
py3-pickle-secure-0.99.9-r1.apk
|
7.5 KiB |
|
|
|
py3-pickle-secure-pyc-0.99.9-r1.apk
|
5.4 KiB |
|
|
|
py3-pika-1.3.2-r1.apk
|
143 KiB |
|
|
|
py3-pika-pyc-1.3.2-r1.apk
|
246 KiB |
|
|
|
py3-pillow_heif-0.18.0-r0.apk
|
52 KiB |
|
|
|
py3-pillow_heif-pyc-0.18.0-r0.apk
|
36 KiB |
|
|
|
py3-pip-system-certs-4.0-r1.apk
|
7.0 KiB |
|
|
|
py3-pip-system-certs-pyc-4.0-r1.apk
|
4.7 KiB |
|
|
|
py3-playsound-1.3.0-r1.apk
|
6.9 KiB |
|
|
|
py3-playsound-pyc-1.3.0-r1.apk
|
8.5 KiB |
|
|
|
py3-plotly-5.24.1-r2.apk
|
20 MiB |
|
|
|
py3-pltable-1.1.0-r1.apk
|
19 KiB |
|
|
|
py3-pltable-pyc-1.1.0-r1.apk
|
34 KiB |
|
|
|
py3-pockethernet-0.7.0-r4.apk
|
15 KiB |
|
|
|
py3-pockethernet-pyc-0.7.0-r4.apk
|
25 KiB |
|
|
|
py3-poetry-dynamic-versioning-1.8.2-r0.apk
|
20 KiB |
|
|
|
py3-poetry-dynamic-versioning-pyc-1.8.2-r0.apk
|
26 KiB |
|
|
|
py3-poppler-qt5-21.3.0-r2.apk
|
119 KiB |
|
|
|
py3-ppk2-api-0.9.2-r0.apk
|
16 KiB |
|
|
|
py3-ppk2-api-pyc-0.9.2-r0.apk
|
16 KiB |
|
|
|
py3-pprintpp-0.4.0-r1.apk
|
14 KiB |
|
|
|
py3-pprintpp-pyc-0.4.0-r1.apk
|
16 KiB |
|
|
|
py3-print-color-0.4.6-r0.apk
|
8.9 KiB |
|
|
|
py3-print-color-doc-0.4.6-r0.apk
|
2.6 KiB |
|
|
|
py3-print-color-pyc-0.4.6-r0.apk
|
5.0 KiB |
|
|
|
py3-proglog-0.1.10-r2.apk
|
7.4 KiB |
|
|
|
py3-proglog-pyc-0.1.10-r2.apk
|
9.9 KiB |
|
|
|
py3-protego-0.3.1-r0.apk
|
9.3 KiB |
|
|
|
py3-protego-pyc-0.3.1-r0.apk
|
12 KiB |
|
|
|
py3-proxmoxer-2.2.0-r0.apk
|
17 KiB |
|
|
|
py3-proxmoxer-pyc-2.2.0-r0.apk
|
28 KiB |
|
|
|
py3-pure_protobuf-3.1.2-r0.apk
|
21 KiB |
|
|
|
py3-pure_protobuf-pyc-3.1.2-r0.apk
|
38 KiB |
|
|
|
py3-py-radix-0.10.0-r10.apk
|
20 KiB |
|
|
|
py3-py-radix-pyc-0.10.0-r10.apk
|
11 KiB |
|
|
|
py3-pyatem-0.5.0-r4.apk
|
52 KiB |
|
|
|
py3-pyatem-pyc-0.5.0-r4.apk
|
92 KiB |
|
|
|
py3-pyautogui-0.9.53-r5.apk
|
35 KiB |
|
|
|
py3-pyautogui-pyc-0.9.53-r5.apk
|
45 KiB |
|
|
|
py3-pybars3-0.9.7-r6.apk
|
15 KiB |
|
|
|
py3-pybars3-pyc-0.9.7-r6.apk
|
17 KiB |
|
|
|
py3-pycaption-2.2.15-r0.apk
|
360 KiB |
|
|
|
py3-pycolorterm-0.2.1-r6.apk
|
5.5 KiB |
|
|
|
py3-pycolorterm-pyc-0.2.1-r6.apk
|
3.7 KiB |
|
|
|
py3-pycosat-0.6.6-r2.apk
|
46 KiB |
|
|
|
py3-pydes-2.0.1-r5.apk
|
11 KiB |
|
|
|
py3-pydes-doc-2.0.1-r5.apk
|
3.6 KiB |
|
|
|
py3-pydes-pyc-2.0.1-r5.apk
|
13 KiB |
|
|
|
py3-pygelbooru-0.5.0-r4.apk
|
8.1 KiB |
|
|
|
py3-pygelbooru-pyc-0.5.0-r4.apk
|
12 KiB |
|
|
|
py3-pygfm-2.0.0-r2.apk
|
13 KiB |
|
|
|
py3-pygfm-pyc-2.0.0-r2.apk
|
13 KiB |
|
|
|
py3-pyglet-2.1.0-r0.apk
|
870 KiB |
|
|
|
py3-pyglet-pyc-2.1.0-r0.apk
|
1.6 MiB |
|
|
|
py3-pyglm-2.7.3-r0.apk
|
1.1 MiB |
|
|
|
py3-pygpgme-0.3.1-r9.apk
|
37 KiB |
|
|
|
py3-pygpgme-pyc-0.3.1-r9.apk
|
5.1 KiB |
|
|
|
py3-pygtail-0.14.0-r3.apk
|
15 KiB |
|
|
|
py3-pygtail-pyc-0.14.0-r3.apk
|
10 KiB |
|
|
|
py3-pyinstaller-6.6.0-r0.apk
|
1.9 MiB |
|
|
|
py3-pyinstaller-pyc-6.6.0-r0.apk
|
502 KiB |
|
|
|
py3-pyinstrument-5.0.3-r0.apk
|
109 KiB |
|
|
|
py3-pyinstrument-pyc-5.0.3-r0.apk
|
100 KiB |
|
|
|
py3-pyisbn-1.3.1-r3.apk
|
20 KiB |
|
|
|
py3-pyisbn-pyc-1.3.1-r3.apk
|
9.4 KiB |
|
|
|
py3-pylru-1.2.1-r1.apk
|
17 KiB |
|
|
|
py3-pylru-pyc-1.2.1-r1.apk
|
8.9 KiB |
|
|
|
py3-pymaging-0.0.20130908-r10.apk
|
18 KiB |
|
|
|
py3-pymaging-png-0.0.20130727-r10.apk
|
35 KiB |
|
|
|
py3-pymaging-png-pyc-0.0.20130727-r10.apk
|
52 KiB |
|
|
|
py3-pymaging-pyc-0.0.20130908-r10.apk
|
32 KiB |
|
|
|
py3-pymata-2.20-r4.apk
|
22 KiB |
|
|
|
py3-pymata-pyc-2.20-r4.apk
|
29 KiB |
|
|
|
py3-pymata4-1.15-r4.apk
|
23 KiB |
|
|
|
py3-pymata4-pyc-1.15-r4.apk
|
31 KiB |
|
|
|
py3-pymeta3-0.5.1-r6.apk
|
17 KiB |
|
|
|
py3-pymeta3-pyc-0.5.1-r6.apk
|
32 KiB |
|
|
|
py3-pymsgbox-1.0.9-r5.apk
|
9.2 KiB |
|
|
|
py3-pymsgbox-pyc-1.0.9-r5.apk
|
9.8 KiB |
|
|
|
py3-pymsteams-0.2.5-r0.apk
|
12 KiB |
|
|
|
py3-pymsteams-pyc-0.2.5-r0.apk
|
6.5 KiB |
|
|
|
py3-pymupdf-1.25.5-r0.apk
|
322 KiB |
|
|
|
py3-pymupdf-pyc-1.25.5-r0.apk
|
503 KiB |
|
|
|
py3-pynest2d-5.2.2-r5.apk
|
283 KiB |
|
|
|
py3-pyparted-3.13.0-r1.apk
|
77 KiB |
|
|
|
py3-pyparted-pyc-3.13.0-r1.apk
|
42 KiB |
|
|
|
py3-pypubsub-4.0.3-r0.apk
|
52 KiB |
|
|
|
py3-pypubsub-doc-4.0.3-r0.apk
|
2.1 KiB |
|
|
|
py3-pypubsub-pyc-4.0.3-r0.apk
|
90 KiB |
|
|
|
py3-pyqrcode-1.2.1-r0.apk
|
37 KiB |
|
|
|
py3-pyqrcode-doc-1.2.1-r0.apk
|
4.3 KiB |
|
|
|
py3-pyqrcode-pyc-1.2.1-r0.apk
|
47 KiB |
|
|
|
py3-pyrebase-3.0.27-r5.apk
|
9.6 KiB |
|
|
|
py3-pyrebase-pyc-3.0.27-r5.apk
|
18 KiB |
|
|
|
py3-pyroma-4.2-r0.apk
|
22 KiB |
|
|
|
py3-pyroma-pyc-4.2-r0.apk
|
26 KiB |
|
|
|
py3-pyscreeze-0.1.29-r3.apk
|
14 KiB |
|
|
|
py3-pyscreeze-pyc-0.1.29-r3.apk
|
14 KiB |
|
|
|
py3-pysimplesoap-1.16.2-r7.apk
|
44 KiB |
|
|
|
py3-pysimplesoap-pyc-1.16.2-r7.apk
|
78 KiB |
|
|
|
py3-pysonic-1.0.3-r0.apk
|
35 KiB |
|
|
|
py3-pysonic-pyc-1.0.3-r0.apk
|
32 KiB |
|
|
|
py3-pyspinel-1.0.3-r1.apk
|
56 KiB |
|
|
|
py3-pyspinel-pyc-1.0.3-r1.apk
|
63 KiB |
|
|
|
py3-pysrt-1.1.2-r5.apk
|
26 KiB |
|
|
|
py3-pysrt-pyc-1.1.2-r5.apk
|
23 KiB |
|
|
|
py3-pystache-0.6.5-r1.apk
|
68 KiB |
|
|
|
py3-pystache-pyc-0.6.5-r1.apk
|
97 KiB |
|
|
|
py3-pysubs2-1.8.0-r0.apk
|
36 KiB |
|
|
|
py3-pysubs2-pyc-1.8.0-r0.apk
|
68 KiB |
|
|
|
py3-pytaglib-3.0.0-r0.apk
|
41 KiB |
|
|
|
py3-pytaglib-pyc-3.0.0-r0.apk
|
2.9 KiB |
|
|
|
py3-pytap2-2.3.0-r0.apk
|
7.0 KiB |
|
|
|
py3-pytap2-doc-2.3.0-r0.apk
|
2.8 KiB |
|
|
|
py3-pytap2-pyc-2.3.0-r0.apk
|
6.0 KiB |
|
|
|
py3-pyte-0.8.2-r3.apk
|
30 KiB |
|
|
|
py3-pyte-pyc-0.8.2-r3.apk
|
39 KiB |
|
|
|
py3-pytest-datadir-1.7.1-r0.apk
|
6.6 KiB |
|
|
|
py3-pytest-datadir-pyc-1.7.1-r0.apk
|
4.9 KiB |
|
|
|
py3-pytest-expect-1.1.0-r10.apk
|
5.9 KiB |
|
|
|
py3-pytest-expect-pyc-1.1.0-r10.apk
|
7.0 KiB |
|
|
|
py3-pytest-home-0.6.0-r0.apk
|
4.5 KiB |
|
|
|
py3-pytest-home-pyc-0.6.0-r0.apk
|
2.8 KiB |
|
|
|
py3-pytest-html-4.1.1-r1.apk
|
22 KiB |
|
|
|
py3-pytest-html-pyc-4.1.1-r1.apk
|
22 KiB |
|
|
|
py3-pytest-metadata-3.1.1-r0.apk
|
10 KiB |
|
|
|
py3-pytest-metadata-pyc-3.1.1-r0.apk
|
7.9 KiB |
|
|
|
py3-pytest-regtest-2.3.2-r1.apk
|
16 KiB |
|
|
|
py3-pytest-regtest-pyc-2.3.2-r1.apk
|
30 KiB |
|
|
|
py3-pytest-subprocess-1.5.2-r0.apk
|
20 KiB |
|
|
|
py3-pytest-subprocess-pyc-1.5.2-r0.apk
|
24 KiB |
|
|
|
py3-python-archive-0.2-r7.apk
|
7.4 KiB |
|
|
|
py3-python-archive-pyc-0.2-r7.apk
|
9.3 KiB |
|
|
|
py3-python-iptables-1.0.1-r1.apk
|
39 KiB |
|
|
|
py3-python-iptables-pyc-1.0.1-r1.apk
|
68 KiB |
|
|
|
py3-python-jwt-4.1.0-r2.apk
|
8.1 KiB |
|
|
|
py3-python-jwt-pyc-4.1.0-r2.apk
|
6.5 KiB |
|
|
|
py3-python-logstash-0.4.8-r4.apk
|
8.6 KiB |
|
|
|
py3-python-logstash-doc-0.4.8-r4.apk
|
2.3 KiB |
|
|
|
py3-python-logstash-pyc-0.4.8-r4.apk
|
8.4 KiB |
|
|
|
py3-python-stdnum-1.20-r0.apk
|
806 KiB |
|
|
|
py3-python-stdnum-pyc-1.20-r0.apk
|
294 KiB |
|
|
|
py3-pyvcd-0.4.1-r0.apk
|
23 KiB |
|
|
|
py3-pyvcd-pyc-0.4.1-r0.apk
|
40 KiB |
|
|
|
py3-pyzor-1.0.0-r11.apk
|
40 KiB |
|
|
|
py3-pyzor-pyc-1.0.0-r11.apk
|
54 KiB |
|
|
|
py3-qasync-0.19.0-r2.apk
|
37 KiB |
|
|
|
py3-qgis-3.40.8-r0.apk
|
21 MiB |
|
|
|
py3-qpageview-0.6.2-r1.apk
|
98 KiB |
|
|
|
py3-qpageview-doc-0.6.2-r1.apk
|
56 KiB |
|
|
|
py3-qpageview-pyc-0.6.2-r1.apk
|
180 KiB |
|
|
|
py3-qt.py-1.3.10-r1.apk
|
33 KiB |
|
|
|
py3-qt.py-pyc-1.3.10-r1.apk
|
25 KiB |
|
|
|
py3-quebra-frases-0.3.7-r1.apk
|
8.8 KiB |
|
|
|
py3-quebra-frases-pyc-0.3.7-r1.apk
|
7.8 KiB |
|
|
|
py3-queuelib-1.7.0-r0.apk
|
13 KiB |
|
|
|
py3-queuelib-pyc-1.7.0-r0.apk
|
25 KiB |
|
|
|
py3-rabbit-1.1.0-r8.apk
|
11 KiB |
|
|
|
py3-rabbit-pyc-1.1.0-r8.apk
|
16 KiB |
|
|
|
py3-radon-6.0.1-r2.apk
|
32 KiB |
|
|
|
py3-radon-doc-6.0.1-r2.apk
|
5.1 KiB |
|
|
|
py3-radon-pyc-6.0.1-r2.apk
|
50 KiB |
|
|
|
py3-recommonmark-0.7.1-r4.apk
|
12 KiB |
|
|
|
py3-recommonmark-pyc-0.7.1-r4.apk
|
18 KiB |
|
|
|
py3-recurring-ical-events-3.8.0-r0.apk
|
39 KiB |
|
|
|
py3-recurring-ical-events-pyc-3.8.0-r0.apk
|
50 KiB |
|
|
|
py3-redmine-2.5.0-r0.apk
|
37 KiB |
|
|
|
py3-redmine-pyc-2.5.0-r0.apk
|
54 KiB |
|
|
|
py3-remind-0.19.2-r0.apk
|
24 KiB |
|
|
|
py3-remind-pyc-0.19.2-r0.apk
|
22 KiB |
|
|
|
py3-requests-cache-1.2.1-r1.apk
|
50 KiB |
|
|
|
py3-requests-cache-pyc-1.2.1-r1.apk
|
94 KiB |
|
|
|
py3-requests-kerberos-0.15.0-r0.apk
|
12 KiB |
|
|
|
py3-requests-kerberos-pyc-0.15.0-r0.apk
|
11 KiB |
|
|
|
py3-requests-wsgi-adapter-0.4.1-r1.apk
|
5.5 KiB |
|
|
|
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk
|
6.6 KiB |
|
|
|
py3-rfc-bibtex-0.3.2-r7.apk
|
13 KiB |
|
|
|
py3-rfc-bibtex-pyc-0.3.2-r7.apk
|
12 KiB |
|
|
|
py3-rich-click-1.7.3-r1.apk
|
31 KiB |
|
|
|
py3-rich-click-pyc-1.7.3-r1.apk
|
40 KiB |
|
|
|
py3-riotctrl-0.5.0-r4.apk
|
13 KiB |
|
|
|
py3-riotctrl-pyc-0.5.0-r4.apk
|
11 KiB |
|
|
|
py3-rns-0.9.6-r0.apk
|
344 KiB |
|
|
|
py3-rns-pyc-0.9.6-r0.apk
|
704 KiB |
|
|
|
py3-rofi-1.0.1-r0.apk
|
12 KiB |
|
|
|
py3-rofi-pyc-1.0.1-r0.apk
|
12 KiB |
|
|
|
py3-rosdistro-0.9.0-r3.apk
|
47 KiB |
|
|
|
py3-rosdistro-pyc-0.9.0-r3.apk
|
91 KiB |
|
|
|
py3-rospkg-1.2.9-r5.apk
|
29 KiB |
|
|
|
py3-rospkg-pyc-1.2.9-r5.apk
|
54 KiB |
|
|
|
py3-rpio-0.10.1-r8.apk
|
37 KiB |
|
|
|
py3-rpio-pyc-0.10.1-r8.apk
|
16 KiB |
|
|
|
py3-rst-0.1-r9.apk
|
5.6 KiB |
|
|
|
py3-rst-pyc-0.1-r9.apk
|
6.1 KiB |
|
|
|
py3-rst.linker-2.6.0-r0.apk
|
6.1 KiB |
|
|
|
py3-rst.linker-pyc-2.6.0-r0.apk
|
6.6 KiB |
|
|
|
py3-rst2ansi-0.1.5-r0.apk
|
12 KiB |
|
|
|
py3-rst2ansi-doc-0.1.5-r0.apk
|
2.2 KiB |
|
|
|
py3-rst2ansi-pyc-0.1.5-r0.apk
|
24 KiB |
|
|
|
py3-rtree-1.4.0-r2.apk
|
26 KiB |
|
|
|
py3-rtree-pyc-1.4.0-r2.apk
|
48 KiB |
|
|
|
py3-schema-0.7.5-r4.apk
|
18 KiB |
|
|
|
py3-schema-pyc-0.7.5-r4.apk
|
18 KiB |
|
|
|
py3-scour-0.38.2-r1.apk
|
56 KiB |
|
|
|
py3-scour-pyc-0.38.2-r1.apk
|
74 KiB |
|
|
|
py3-scrapy-2.11.1-r1.apk
|
240 KiB |
|
|
|
py3-scrapy-pyc-2.11.1-r1.apk
|
482 KiB |
|
|
|
py3-scs-3.2.3-r4.apk
|
139 KiB |
|
|
|
py3-scs-pyc-3.2.3-r4.apk
|
4.9 KiB |
|
|
|
py3-senf-1.5.0-r0.apk
|
20 KiB |
|
|
|
py3-senf-pyc-1.5.0-r0.apk
|
32 KiB |
|
|
|
py3-seqdiag-3.0.0-r5.apk
|
2.5 MiB |
|
|
|
py3-seqdiag-pyc-3.0.0-r5.apk
|
42 KiB |
|
|
|
py3-setuptools-lint-0.6.0-r9.apk
|
5.4 KiB |
|
|
|
py3-setuptools-lint-pyc-0.6.0-r9.apk
|
6.0 KiB |
|
|
|
py3-sh-2.1.0-r0.apk
|
38 KiB |
|
|
|
py3-sh-pyc-2.1.0-r0.apk
|
55 KiB |
|
|
|
py3-shodan-1.31.0-r1.apk
|
44 KiB |
|
|
|
py3-shodan-doc-1.31.0-r1.apk
|
7.2 KiB |
|
|
|
py3-shodan-pyc-1.31.0-r1.apk
|
79 KiB |
|
|
|
py3-simber-0.2.6-r5.apk
|
12 KiB |
|
|
|
py3-simber-pyc-0.2.6-r5.apk
|
16 KiB |
|
|
|
py3-simpleeval-1.0.3-r0.apk
|
16 KiB |
|
|
|
py3-simpleeval-pyc-1.0.3-r0.apk
|
16 KiB |
|
|
|
py3-simplematch-1.4-r1.apk
|
8.0 KiB |
|
|
|
py3-simplematch-pyc-1.4-r1.apk
|
5.8 KiB |
|
|
|
py3-simplesat-0.8.2-r0.apk
|
214 KiB |
|
|
|
py3-simplesat-pyc-0.8.2-r0.apk
|
157 KiB |
|
|
|
py3-simplesoapy-1.5.1-r7.apk
|
7.9 KiB |
|
|
|
py3-simplesoapy-pyc-1.5.1-r7.apk
|
12 KiB |
|
|
|
py3-simplespectral-1.0.0-r5.apk
|
7.5 KiB |
|
|
|
py3-simplespectral-pyc-1.0.0-r5.apk
|
8.1 KiB |
|
|
|
py3-slidge-style-parser-0.1.9-r0.apk
|
222 KiB |
|
|
|
py3-slidge-style-parser-pyc-0.1.9-r0.apk
|
2.0 KiB |
|
|
|
py3-slixmpp-1.8.5-r2.apk
|
383 KiB |
|
|
|
py3-slixmpp-doc-1.8.5-r2.apk
|
5.8 KiB |
|
|
|
py3-slixmpp-pyc-1.8.5-r2.apk
|
730 KiB |
|
|
|
py3-snapshottest-0.6.0-r5.apk
|
15 KiB |
|
|
|
py3-snapshottest-pyc-0.6.0-r5.apk
|
26 KiB |
|
|
|
py3-soappy-0.52.30-r0.apk
|
47 KiB |
|
|
|
py3-soappy-pyc-0.52.30-r0.apk
|
95 KiB |
|
|
|
py3-soapy_power-1.6.1-r5.apk
|
18 KiB |
|
|
|
py3-soapy_power-pyc-1.6.1-r5.apk
|
26 KiB |
|
|
|
py3-solidpython-1.1.2-r2.apk
|
79 KiB |
|
|
|
py3-solidpython-pyc-1.1.2-r2.apk
|
120 KiB |
|
|
|
py3-sortedcollections-2.1.0-r5.apk
|
11 KiB |
|
|
|
py3-sortedcollections-pyc-2.1.0-r5.apk
|
14 KiB |
|
|
|
py3-spake2-0.9-r0.apk
|
30 KiB |
|
|
|
py3-spake2-pyc-0.9-r0.apk
|
44 KiB |
|
|
|
py3-sphinx-argparse-0.5.2-r0.apk
|
14 KiB |
|
|
|
py3-sphinx-argparse-pyc-0.5.2-r0.apk
|
22 KiB |
|
|
|
py3-sphinx-theme-better-0.1.5-r7.apk
|
10 KiB |
|
|
|
py3-sphinx-theme-better-pyc-0.1.5-r7.apk
|
2.0 KiB |
|
|
|
py3-sphinx-theme-bootstrap-0.8.1-r4.apk
|
1.2 MiB |
|
|
|
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk
|
2.3 KiB |
|
|
|
py3-sphinx-theme-bw-0.1.8-r7.apk
|
65 KiB |
|
|
|
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk
|
1.8 KiB |
|
|
|
py3-sphinx-theme-cloud-1.10.0-r2.apk
|
81 KiB |
|
|
|
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk
|
43 KiB |
|
|
|
py3-sphinx-theme-epfl-1.1.1-r9.apk
|
30 KiB |
|
|
|
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk
|
2.5 KiB |
|
|
|
py3-sphinx-theme-guzzle-0.7.11-r7.apk
|
2.4 MiB |
|
|
|
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk
|
5.5 KiB |
|
|
|
py3-sphinx-theme-readable-1.3.0-r9.apk
|
8.8 KiB |
|
|
|
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk
|
2.2 KiB |
|
|
|
py3-sphinxcontrib-actdiag-3.0.0-r4.apk
|
7.6 KiB |
|
|
|
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk
|
9.3 KiB |
|
|
|
py3-sphinxcontrib-adadomain-0.2-r9.apk
|
9.0 KiB |
|
|
|
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk
|
12 KiB |
|
|
|
py3-sphinxcontrib-bitbucket-1.0-r8.apk
|
5.7 KiB |
|
|
|
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk
|
4.1 KiB |
|
|
|
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk
|
7.5 KiB |
|
|
|
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk
|
9.2 KiB |
|
|
|
py3-sphinxcontrib-cacoo-2.0.0-r7.apk
|
5.7 KiB |
|
|
|
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk
|
4.3 KiB |
|
|
|
py3-sphinxcontrib-cartouche-1.1.2-r7.apk
|
18 KiB |
|
|
|
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk
|
34 KiB |
|
|
|
py3-sphinxcontrib-doxylink-1.12.3-r0.apk
|
12 KiB |
|
|
|
py3-sphinxcontrib-doxylink-pyc-1.12.3-r0.apk
|
16 KiB |
|
|
|
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk
|
9.1 KiB |
|
|
|
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk
|
3.5 KiB |
|
|
|
py3-sphinxcontrib-gist-0.1.0-r9.apk
|
3.9 KiB |
|
|
|
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk
|
3.3 KiB |
|
|
|
py3-sphinxcontrib-git-11.0.0-r7.apk
|
17 KiB |
|
|
|
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk
|
6.6 KiB |
|
|
|
py3-sphinxcontrib-gravatar-0.1.2-r8.apk
|
7.9 KiB |
|
|
|
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk
|
7.6 KiB |
|
|
|
py3-sphinxcontrib-htsql-0.1.5-r8.apk
|
11 KiB |
|
|
|
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk
|
15 KiB |
|
|
|
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk
|
18 KiB |
|
|
|
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk
|
4.3 KiB |
|
|
|
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk
|
34 KiB |
|
|
|
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk
|
21 KiB |
|
|
|
py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk
|
44 KiB |
|
|
|
py3-sphinxcontrib-inheritance-0.9.0-r9.apk
|
10 KiB |
|
|
|
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk
|
15 KiB |
|
|
|
py3-sphinxcontrib-issuetracker-0.11-r7.apk
|
11 KiB |
|
|
|
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk
|
12 KiB |
|
|
|
py3-sphinxcontrib-lassodomain-0.4-r8.apk
|
7.9 KiB |
|
|
|
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk
|
11 KiB |
|
|
|
py3-sphinxcontrib-manpage-0.6-r8.apk
|
4.1 KiB |
|
|
|
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk
|
3.1 KiB |
|
|
|
py3-sphinxcontrib-mermaid-1.0.0-r0.apk
|
10 KiB |
|
|
|
py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk
|
16 KiB |
|
|
|
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk
|
8.7 KiB |
|
|
|
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk
|
11 KiB |
|
|
|
py3-sphinxcontrib-phpdomain-0.12.0-r0.apk
|
12 KiB |
|
|
|
py3-sphinxcontrib-phpdomain-pyc-0.12.0-r0.apk
|
17 KiB |
|
|
|
py3-sphinxcontrib-programoutput-0.17-r5.apk
|
16 KiB |
|
|
|
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk
|
24 KiB |
|
|
|
py3-sphinxcontrib-restbuilder-0.3-r6.apk
|
11 KiB |
|
|
|
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk
|
20 KiB |
|
|
|
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk
|
7.6 KiB |
|
|
|
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk
|
9.3 KiB |
|
|
|
py3-sphinxcontrib-slide-1.0.0-r4.apk
|
4.9 KiB |
|
|
|
py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk
|
5.6 KiB |
|
|
|
py3-sphinxcontrib-spelling-8.0.0-r3.apk
|
15 KiB |
|
|
|
py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk
|
19 KiB |
|
|
|
py3-sphinxcontrib-sqltable-2.0.0-r8.apk
|
7.3 KiB |
|
|
|
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk
|
4.9 KiB |
|
|
|
py3-sphinxcontrib-textstyle-0.2.3-r8.apk
|
6.1 KiB |
|
|
|
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk
|
5.7 KiB |
|
|
|
py3-spidev-3.6-r1.apk
|
14 KiB |
|
|
|
py3-spin-0.8-r0.apk
|
18 KiB |
|
|
|
py3-spin-pyc-0.8-r0.apk
|
24 KiB |
|
|
|
py3-spinners-0.0.24-r5.apk
|
6.1 KiB |
|
|
|
py3-spinners-pyc-0.0.24-r5.apk
|
6.2 KiB |
|
|
|
py3-spnego-0.11.2-r0.apk
|
118 KiB |
|
|
|
py3-spnego-pyc-0.11.2-r0.apk
|
219 KiB |
|
|
|
py3-spotipy-2.24.0-r2.apk
|
30 KiB |
|
|
|
py3-spotipy-pyc-2.24.0-r2.apk
|
50 KiB |
|
|
|
py3-sqlmodel-0.0.22-r1.apk
|
26 KiB |
|
|
|
py3-sqlmodel-pyc-0.0.22-r1.apk
|
41 KiB |
|
|
|
py3-sssd-2.11.0-r0.apk
|
59 KiB |
|
|
|
py3-sssd-pyc-2.11.0-r0.apk
|
49 KiB |
|
|
|
py3-sstash-0.17-r9.apk
|
7.7 KiB |
|
|
|
py3-sstash-pyc-0.17-r9.apk
|
10 KiB |
|
|
|
py3-svgpath-6.3-r3.apk
|
17 KiB |
|
|
|
py3-svgpath-pyc-6.3-r3.apk
|
22 KiB |
|
|
|
py3-swagger-ui-bundle-1.1.0-r1.apk
|
2.5 MiB |
|
|
|
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk
|
2.1 KiB |
|
|
|
py3-synapse-auto-accept-invite-1.2.0-r0.apk
|
9.8 KiB |
|
|
|
py3-synapse-auto-accept-invite-pyc-1.2.0-r0.apk
|
5.6 KiB |
|
|
|
py3-tailer-0.4.1-r7.apk
|
6.9 KiB |
|
|
|
py3-tailer-pyc-0.4.1-r7.apk
|
6.6 KiB |
|
|
|
py3-tasklib-2.5.1-r2.apk
|
23 KiB |
|
|
|
py3-tasklib-pyc-2.5.1-r2.apk
|
52 KiB |
|
|
|
py3-telegram-0.18.0-r3.apk
|
14 KiB |
|
|
|
py3-telegram-bot-21.10-r0.apk
|
454 KiB |
|
|
|
py3-telegram-bot-pyc-21.10-r0.apk
|
724 KiB |
|
|
|
py3-telegram-pyc-0.18.0-r3.apk
|
21 KiB |
|
|
|
py3-telegram-text-0.2.0-r1.apk
|
9.2 KiB |
|
|
|
py3-telegram-text-pyc-0.2.0-r1.apk
|
13 KiB |
|
|
|
py3-telemetrix-1.20-r3.apk
|
21 KiB |
|
|
|
py3-telemetrix-pyc-1.20-r3.apk
|
31 KiB |
|
|
|
py3-teletype-1.3.4-r3.apk
|
15 KiB |
|
|
|
py3-teletype-pyc-1.3.4-r3.apk
|
20 KiB |
|
|
|
py3-testresources-2.0.1-r6.apk
|
17 KiB |
|
|
|
py3-testresources-pyc-2.0.1-r6.apk
|
16 KiB |
|
|
|
py3-textual-3.2.0-r0.apk
|
596 KiB |
|
|
|
py3-textual-pyc-3.2.0-r0.apk
|
1.2 MiB |
|
|
|
py3-tg-0.19.0-r5.apk
|
73 KiB |
|
|
|
py3-tg-pyc-0.19.0-r5.apk
|
81 KiB |
|
|
|
py3-thefuzz-0.22.1-r1.apk
|
10 KiB |
|
|
|
py3-thefuzz-pyc-0.22.1-r1.apk
|
8.9 KiB |
|
|
|
py3-ticket-auth-0.1.4-r9.apk
|
6.0 KiB |
|
|
|
py3-ticket-auth-pyc-0.1.4-r9.apk
|
6.4 KiB |
|
|
|
py3-tidalapi-0.7.4-r1.apk
|
36 KiB |
|
|
|
py3-tidalapi-pyc-0.7.4-r1.apk
|
62 KiB |
|
|
|
py3-timeago-1.0.16-r0.apk
|
24 KiB |
|
|
|
py3-timeago-doc-1.0.16-r0.apk
|
2.8 KiB |
|
|
|
py3-timeago-pyc-1.0.16-r0.apk
|
28 KiB |
|
|
|
py3-tls_parser-2.0.1-r1.apk
|
9.2 KiB |
|
|
|
py3-tls_parser-pyc-2.0.1-r1.apk
|
17 KiB |
|
|
|
py3-tlslite-ng-0.7.6-r8.apk
|
178 KiB |
|
|
|
py3-tlslite-ng-pyc-0.7.6-r8.apk
|
275 KiB |
|
|
|
py3-tokenizers-0.21.2-r0.apk
|
1.6 MiB |
|
|
|
py3-tokenizers-pyc-0.21.2-r0.apk
|
29 KiB |
|
|
|
py3-tpm2-pytss-2.3.0-r1.apk
|
273 KiB |
|
|
|
py3-tpm2-pytss-pyc-2.3.0-r1.apk
|
236 KiB |
|
|
|
py3-transitions-0.9.2-r0.apk
|
98 KiB |
|
|
|
py3-transitions-pyc-0.9.2-r0.apk
|
129 KiB |
|
|
|
py3-translationstring-1.4-r4.apk
|
9.2 KiB |
|
|
|
py3-translationstring-pyc-1.4-r4.apk
|
8.8 KiB |
|
|
|
py3-trivup-0.12.2-r2.apk
|
34 KiB |
|
|
|
py3-trivup-pyc-0.12.2-r2.apk
|
55 KiB |
|
|
|
py3-truststore-0.10.1-r0.apk
|
18 KiB |
|
|
|
py3-truststore-pyc-0.10.1-r0.apk
|
26 KiB |
|
|
|
py3-twiggy-0.5.1-r4.apk
|
24 KiB |
|
|
|
py3-twiggy-pyc-0.5.1-r4.apk
|
39 KiB |
|
|
|
py3-typing_inspect-0.9.0-r2.apk
|
9.9 KiB |
|
|
|
py3-typing_inspect-pyc-0.9.0-r2.apk
|
14 KiB |
|
|
|
py3-u-msgpack-2.8.0-r2.apk
|
11 KiB |
|
|
|
py3-u-msgpack-pyc-2.8.0-r2.apk
|
16 KiB |
|
|
|
py3-uacme-desec-1.2.1-r0.apk
|
5.7 KiB |
|
|
|
py3-uacme-desec-doc-1.2.1-r0.apk
|
2.2 KiB |
|
|
|
py3-uacme-desec-pyc-1.2.1-r0.apk
|
6.8 KiB |
|
|
|
py3-uc-micro-py-1.0.2-r1.apk
|
9.1 KiB |
|
|
|
py3-unearth-0.17.5-r0.apk
|
40 KiB |
|
|
|
py3-unearth-pyc-0.17.5-r0.apk
|
82 KiB |
|
|
|
py3-unicorn-2.0.1-r4.apk
|
34 KiB |
|
|
|
py3-unicorn-pyc-2.0.1-r4.apk
|
57 KiB |
|
|
|
py3-unicrypto-0.0.10-r3.apk
|
59 KiB |
|
|
|
py3-unicrypto-pyc-0.0.10-r3.apk
|
92 KiB |
|
|
|
py3-unidns-0.0.1-r3.apk
|
13 KiB |
|
|
|
py3-unidns-examples-0.0.1-r3.apk
|
2.6 KiB |
|
|
|
py3-unidns-pyc-0.0.1-r3.apk
|
21 KiB |
|
|
|
py3-unoconv-0.9.0-r4.apk
|
26 KiB |
|
|
|
py3-uptime-3.0.1-r9.apk
|
9.9 KiB |
|
|
|
py3-uptime-pyc-3.0.1-r9.apk
|
8.7 KiB |
|
|
|
py3-urlobject-2.4.3-r9.apk
|
15 KiB |
|
|
|
py3-urlobject-pyc-2.4.3-r9.apk
|
25 KiB |
|
|
|
py3-us-3.2.0-r0.apk
|
14 KiB |
|
|
|
py3-us-pyc-3.2.0-r0.apk
|
15 KiB |
|
|
|
py3-utc-0.0.3-r9.apk
|
3.5 KiB |
|
|
|
py3-utc-pyc-0.0.3-r9.apk
|
2.8 KiB |
|
|
|
py3-vatnumber-1.2-r9.apk
|
19 KiB |
|
|
|
py3-vatnumber-pyc-1.2-r9.apk
|
8.6 KiB |
|
|
|
py3-vdf-3.4-r1.apk
|
11 KiB |
|
|
|
py3-vdf-pyc-3.4-r1.apk
|
17 KiB |
|
|
|
py3-venusian-3.1.1-r0.apk
|
14 KiB |
|
|
|
py3-venusian-pyc-3.1.1-r0.apk
|
12 KiB |
|
|
|
py3-virtualenvwrapper-6.1.0-r1.apk
|
22 KiB |
|
|
|
py3-virtualenvwrapper-pyc-6.1.0-r1.apk
|
12 KiB |
|
|
|
py3-visitor-0.1.3-r7.apk
|
4.6 KiB |
|
|
|
py3-visitor-pyc-0.1.3-r7.apk
|
2.6 KiB |
|
|
|
py3-ward-0.67.0_beta0-r2.apk
|
41 KiB |
|
|
|
py3-ward-pyc-0.67.0_beta0-r2.apk
|
80 KiB |
|
|
|
py3-wbdata-1.0.0-r1.apk
|
18 KiB |
|
|
|
py3-wbdata-pyc-1.0.0-r1.apk
|
20 KiB |
|
|
|
py3-wg-netns-2.3.1-r1.apk
|
7.6 KiB |
|
|
|
py3-wg-netns-pyc-2.3.1-r1.apk
|
13 KiB |
|
|
|
py3-wgconfig-1.1.0-r0.apk
|
22 KiB |
|
|
|
py3-wgconfig-pyc-1.1.0-r0.apk
|
12 KiB |
|
|
|
py3-wifi-0.3.8-r7.apk
|
13 KiB |
|
|
|
py3-wifi-pyc-0.3.8-r7.apk
|
14 KiB |
|
|
|
py3-winacl-0.1.9-r1.apk
|
83 KiB |
|
|
|
py3-winacl-pyc-0.1.9-r1.apk
|
131 KiB |
|
|
|
py3-wsgiprox-1.5.2-r1.apk
|
17 KiB |
|
|
|
py3-wsgiprox-pyc-1.5.2-r1.apk
|
28 KiB |
|
|
|
py3-wstools-0.4.10-r7.apk
|
53 KiB |
|
|
|
py3-wstools-pyc-0.4.10-r7.apk
|
111 KiB |
|
|
|
py3-wtf-peewee-3.0.6-r0.apk
|
13 KiB |
|
|
|
py3-wtf-peewee-pyc-3.0.6-r0.apk
|
25 KiB |
|
|
|
py3-x-wr-timezone-2.0.1-r0.apk
|
12 KiB |
|
|
|
py3-x-wr-timezone-pyc-2.0.1-r0.apk
|
7.1 KiB |
|
|
|
py3-xapp-2.4.2-r0.apk
|
34 KiB |
|
|
|
py3-xdoctest-1.2.0-r0.apk
|
312 KiB |
|
|
|
py3-xlwt-1.3.0-r10.apk
|
94 KiB |
|
|
|
py3-xlwt-pyc-1.3.0-r10.apk
|
165 KiB |
|
|
|
py3-xsdata-25.4-r0.apk
|
190 KiB |
|
|
|
py3-xsdata-pyc-25.4-r0.apk
|
393 KiB |
|
|
|
py3-yapsy-1.12.2-r7.apk
|
32 KiB |
|
|
|
py3-yapsy-pyc-1.12.2-r7.apk
|
47 KiB |
|
|
|
py3-yara-4.5.1-r0.apk
|
18 KiB |
|
|
|
py3-yosys-0.42-r1.apk
|
1.8 KiB |
|
|
|
py3-youtube-search-1.6.6-r5.apk
|
78 KiB |
|
|
|
py3-youtube-search-pyc-1.6.6-r5.apk
|
95 KiB |
|
|
|
py3-zimscraperlib-3.4.0-r0.apk
|
52 KiB |
|
|
|
py3-zimscraperlib-pyc-3.4.0-r0.apk
|
68 KiB |
|
|
|
py3-zipfile2-0.0.12-r0.apk
|
45 KiB |
|
|
|
py3-zipfile2-pyc-0.0.12-r0.apk
|
29 KiB |
|
|
|
py3-zope-configuration-5.0.1-r2.apk
|
39 KiB |
|
|
|
py3-zope-configuration-pyc-5.0.1-r2.apk
|
48 KiB |
|
|
|
py3-zope-i18nmessageid-6.1.0-r2.apk
|
16 KiB |
|
|
|
py3-zope-i18nmessageid-pyc-6.1.0-r2.apk
|
8.0 KiB |
|
|
|
py3-zope-schema-7.0.1-r3.apk
|
45 KiB |
|
|
|
py3-zope-schema-pyc-7.0.1-r3.apk
|
61 KiB |
|
|
|
pyinfra-3.2-r0.apk
|
186 KiB |
|
|
|
pyinfra-pyc-3.2-r0.apk
|
349 KiB |
|
|
|
pympress-1.8.5-r1.apk
|
181 KiB |
|
|
|
pympress-doc-1.8.5-r1.apk
|
348 KiB |
|
|
|
pympress-lang-1.8.5-r1.apk
|
56 KiB |
|
|
|
pympress-pyc-1.8.5-r1.apk
|
182 KiB |
|
|
|
pyonji-0.1.0-r5.apk
|
3.0 MiB |
|
|
|
pypy-7.3.12-r0.apk
|
15 MiB |
|
|
|
pypy-bootstrap-7.3.12-r0.apk
|
16 MiB |
|
|
|
pypy-dev-7.3.12-r0.apk
|
78 KiB |
|
|
|
pypy-tkinter-7.3.12-r0.apk
|
453 KiB |
|
|
|
pypy3-7.3.12-r0.apk
|
15 MiB |
|
|
|
pypy3-dev-7.3.12-r0.apk
|
564 KiB |
|
|
|
pypy3-pyc-7.3.12-r0.apk
|
5.9 MiB |
|
|
|
pypy3-tests-7.3.12-r0.apk
|
13 MiB |
|
|
|
pypy3-tkinter-7.3.12-r0.apk
|
301 KiB |
|
|
|
pypykatz-0.6.11-r1.apk
|
315 KiB |
|
|
|
pypykatz-pyc-0.6.11-r1.apk
|
721 KiB |
|
|
|
pyradio-0.9.3.11-r0.apk
|
871 KiB |
|
|
|
pyradio-doc-0.9.3.11-r0.apk
|
113 KiB |
|
|
|
pyradio-pyc-0.9.3.11-r0.apk
|
810 KiB |
|
|
|
qadwaitadecorations-0.1.6-r0.apk
|
51 KiB |
|
|
|
qbittorrent-cli-2.2.0-r0.apk
|
6.0 MiB |
|
|
|
qdjango-0.6.2-r1.apk
|
112 KiB |
|
|
|
qdjango-dev-0.6.2-r1.apk
|
14 KiB |
|
|
|
qflipper-1.3.3-r1.apk
|
527 KiB |
|
|
|
qflipper-gui-1.3.3-r1.apk
|
1.1 MiB |
|
|
|
qgis-3.40.8-r0.apk
|
48 MiB |
|
|
|
qgis-dev-3.40.8-r0.apk
|
3.5 MiB |
|
|
|
qgis-doc-3.40.8-r0.apk
|
3.1 KiB |
|
|
|
qgis-grass-3.40.8-r0.apk
|
1.4 MiB |
|
|
|
qgis-lang-3.40.8-r0.apk
|
33 MiB |
|
|
|
qgis-server-3.40.8-r0.apk
|
1.8 MiB |
|
|
|
qmk-cli-1.1.8-r0.apk
|
15 KiB |
|
|
|
qmk-cli-pyc-1.1.8-r0.apk
|
22 KiB |
|
|
|
qml-box2d-0_git20180406-r0.apk
|
147 KiB |
|
|
|
qoi-0.0.0_git20230312-r0.apk
|
1.5 KiB |
|
|
|
qoi-dev-0.0.0_git20230312-r0.apk
|
6.8 KiB |
|
|
|
qoiconv-0.0.0_git20230312-r0.apk
|
30 KiB |
|
|
|
qownnotes-23.6.6-r0.apk
|
2.5 MiB |
|
|
|
qownnotes-lang-23.6.6-r0.apk
|
4.4 MiB |
|
|
|
qpdfview-0.5-r2.apk
|
1.0 MiB |
|
|
|
qpdfview-doc-0.5-r2.apk
|
4.2 KiB |
|
|
|
qperf-0.4.11-r2.apk
|
32 KiB |
|
|
|
qperf-doc-0.4.11-r2.apk
|
5.6 KiB |
|
|
|
qqc2-suru-style-0.20230206-r1.apk
|
175 KiB |
|
|
|
qsstv-9.5.8-r2.apk
|
990 KiB |
|
|
|
qstardict-2.0.2-r1.apk
|
465 KiB |
|
|
|
qstardict-doc-2.0.2-r1.apk
|
11 KiB |
|
|
|
qsynth-1.0.2-r0.apk
|
460 KiB |
|
|
|
qsynth-doc-1.0.2-r0.apk
|
4.4 KiB |
|
|
|
qt-wayland-shell-helpers-0.1.1-r3.apk
|
13 KiB |
|
|
|
qt-wayland-shell-helpers-dev-0.1.1-r3.apk
|
3.9 KiB |
|
|
|
qt5ct-1.8-r0.apk
|
241 KiB |
|
|
|
qt5ct-dev-1.8-r0.apk
|
1.5 KiB |
|
|
|
qt6ct-0.9-r3.apk
|
203 KiB |
|
|
|
qtile-0.30.0-r0.apk
|
436 KiB |
|
|
|
qtile-pyc-0.30.0-r0.apk
|
837 KiB |
|
|
|
qtmir-0.7.2_git20250407-r1.apk
|
546 KiB |
|
|
|
qtmir-dev-0.7.2_git20250407-r1.apk
|
6.6 KiB |
|
|
|
qtox-1.17.6-r6.apk
|
5.1 MiB |
|
|
|
qtpass-1.4.0-r0.apk
|
438 KiB |
|
|
|
qtpass-doc-1.4.0-r0.apk
|
2.1 KiB |
|
|
|
quakespasm-0.96.3-r0.apk
|
494 KiB |
|
|
|
qucs-s-1.1.0-r1.apk
|
3.6 MiB |
|
|
|
qucs-s-doc-1.1.0-r1.apk
|
2.4 KiB |
|
|
|
qucs-s-lang-1.1.0-r1.apk
|
865 KiB |
|
|
|
queercat-1.0.0-r0.apk
|
8.2 KiB |
|
|
|
quickjs-ng-0.10.1-r0.apk
|
81 KiB |
|
|
|
quickjs-ng-dev-0.10.1-r0.apk
|
14 KiB |
|
|
|
quickjs-ng-doc-0.10.1-r0.apk
|
6.3 KiB |
|
|
|
quickjs-ng-libs-0.10.1-r0.apk
|
334 KiB |
|
|
|
quodlibet-4.6.0-r2.apk
|
1.0 MiB |
|
|
|
quodlibet-bash-completion-4.6.0-r2.apk
|
4.7 KiB |
|
|
|
quodlibet-doc-4.6.0-r2.apk
|
8.7 KiB |
|
|
|
quodlibet-lang-4.6.0-r2.apk
|
1.2 MiB |
|
|
|
quodlibet-pyc-4.6.0-r2.apk
|
1.8 MiB |
|
|
|
quodlibet-zsh-completion-4.6.0-r2.apk
|
2.7 KiB |
|
|
|
raku-base64-0.1.0-r0.apk
|
20 KiB |
|
|
|
raku-base64-doc-0.1.0-r0.apk
|
2.8 KiB |
|
|
|
raku-cbor-simple-0.1.4-r0.apk
|
173 KiB |
|
|
|
raku-cbor-simple-doc-0.1.4-r0.apk
|
7.0 KiB |
|
|
|
raku-cro-core-0.8.10-r0.apk
|
776 KiB |
|
|
|
raku-cro-core-doc-0.8.10-r0.apk
|
2.4 KiB |
|
|
|
raku-crypt-random-0.4.1-r0.apk
|
109 KiB |
|
|
|
raku-crypt-random-doc-0.4.1-r0.apk
|
2.5 KiB |
|
|
|
raku-datetime-parse-0.9.3-r0.apk
|
48 KiB |
|
|
|
raku-datetime-parse-doc-0.9.3-r0.apk
|
3.0 KiB |
|
|
|
raku-digest-1.1.0-r0.apk
|
862 KiB |
|
|
|
raku-digest-doc-1.1.0-r0.apk
|
2.8 KiB |
|
|
|
raku-digest-hmac-1.0.6_git20231116-r0.apk
|
7.2 KiB |
|
|
|
raku-digest-hmac-doc-1.0.6_git20231116-r0.apk
|
3.3 KiB |
|
|
|
raku-digest-sha1-native-0.06-r0.apk
|
47 KiB |
|
|
|
raku-digest-sha1-native-doc-0.06-r0.apk
|
2.4 KiB |
|
|
|
raku-docker-file-1.1-r0.apk
|
131 KiB |
|
|
|
raku-docker-file-doc-1.1-r0.apk
|
2.5 KiB |
|
|
|
raku-file-find-0.2.1-r0.apk
|
17 KiB |
|
|
|
raku-file-find-doc-0.2.1-r0.apk
|
4.2 KiB |
|
|
|
raku-file-ignore-1.2-r0.apk
|
46 KiB |
|
|
|
raku-file-ignore-doc-1.2-r0.apk
|
4.2 KiB |
|
|
|
raku-file-which-1.0.4-r0.apk
|
106 KiB |
|
|
|
raku-file-which-doc-1.0.4-r0.apk
|
3.6 KiB |
|
|
|
raku-http-hpack-1.0.3-r0.apk
|
88 KiB |
|
|
|
raku-http-hpack-doc-1.0.3-r0.apk
|
3.2 KiB |
|
|
|
raku-if-0.1.3-r0.apk
|
28 KiB |
|
|
|
raku-if-doc-0.1.3-r0.apk
|
2.6 KiB |
|
|
|
raku-io-path-childsecure-1.2-r0.apk
|
10 KiB |
|
|
|
raku-io-path-childsecure-doc-1.2-r0.apk
|
2.9 KiB |
|
|
|
raku-json-class-0.0.21-r0.apk
|
48 KiB |
|
|
|
raku-json-class-doc-0.0.21-r0.apk
|
3.3 KiB |
|
|
|
raku-json-marshal-0.0.25-r0.apk
|
71 KiB |
|
|
|
raku-json-marshal-doc-0.0.25-r0.apk
|
3.7 KiB |
|
|
|
raku-json-name-0.0.7-r0.apk
|
37 KiB |
|
|
|
raku-json-name-doc-0.0.7-r0.apk
|
2.8 KiB |
|
|
|
raku-json-optin-0.0.2-r0.apk
|
22 KiB |
|
|
|
raku-json-optin-doc-0.0.2-r0.apk
|
2.7 KiB |
|
|
|
raku-json-unmarshal-0.18-r0.apk
|
72 KiB |
|
|
|
raku-json-unmarshal-doc-0.18-r0.apk
|
3.3 KiB |
|
|
|
raku-librarymake-1.0.5-r0.apk
|
37 KiB |
|
|
|
raku-librarymake-doc-1.0.5-r0.apk
|
4.5 KiB |
|
|
|
raku-log-timeline-0.5.2-r0.apk
|
278 KiB |
|
|
|
raku-log-timeline-doc-0.5.2-r0.apk
|
5.4 KiB |
|
|
|
raku-meta6-0.0.30-r0.apk
|
108 KiB |
|
|
|
raku-meta6-doc-0.0.30-r0.apk
|
3.2 KiB |
|
|
|
raku-oo-monitors-1.1.5-r0.apk
|
13 KiB |
|
|
|
raku-oo-monitors-doc-1.1.5-r0.apk
|
2.9 KiB |
|
|
|
raku-protocol-mqtt-0.0.4-r0.apk
|
223 KiB |
|
|
|
raku-protocol-mqtt-doc-0.0.4-r0.apk
|
2.3 KiB |
|
|
|
raku-shell-command-1.1-r0.apk
|
40 KiB |
|
|
|
raku-shell-command-doc-1.1-r0.apk
|
3.5 KiB |
|
|
|
raku-terminal-quickcharts-0.0.2-r0.apk
|
214 KiB |
|
|
|
raku-terminal-quickcharts-doc-0.0.2-r0.apk
|
3.1 KiB |
|
|
|
raku-tinyfloats-0.0.5-r0.apk
|
35 KiB |
|
|
|
raku-tinyfloats-doc-0.0.5-r0.apk
|
4.1 KiB |
|
|
|
randrctl-1.10.0-r0.apk
|
28 KiB |
|
|
|
randrctl-pyc-1.10.0-r0.apk
|
31 KiB |
|
|
|
rankwidth-0.9-r3.apk
|
5.6 KiB |
|
|
|
rankwidth-dev-0.9-r3.apk
|
2.9 KiB |
|
|
|
rankwidth-doc-0.9-r3.apk
|
3.0 KiB |
|
|
|
rankwidth-libs-0.9-r3.apk
|
5.2 KiB |
|
|
|
rankwidth-static-0.9-r3.apk
|
4.8 KiB |
|
|
|
raspberrypi-usbboot-20250227-r0.apk
|
884 KiB |
|
|
|
rathole-0.5.0-r0.apk
|
1.4 MiB |
|
|
|
rattler-build-0.18.0-r0.apk
|
5.7 MiB |
|
|
|
rattler-build-bash-completion-0.18.0-r0.apk
|
3.6 KiB |
|
|
|
rattler-build-doc-0.18.0-r0.apk
|
6.7 KiB |
|
|
|
rattler-build-fish-completion-0.18.0-r0.apk
|
4.7 KiB |
|
|
|
rattler-build-zsh-completion-0.18.0-r0.apk
|
5.4 KiB |
|
|
|
rauc-1.10.1-r0.apk
|
146 KiB |
|
|
|
rauc-doc-1.10.1-r0.apk
|
4.2 KiB |
|
|
|
rauc-service-1.10.1-r0.apk
|
3.7 KiB |
|
|
|
razercfg-0.42-r7.apk
|
84 KiB |
|
|
|
razercfg-gui-0.42-r7.apk
|
19 KiB |
|
|
|
razercfg-openrc-0.42-r7.apk
|
1.7 KiB |
|
|
|
razercfg-pyc-0.42-r7.apk
|
36 KiB |
|
|
|
rclone-browser-1.8.0-r1.apk
|
349 KiB |
|
|
|
rdedup-3.2.1-r5.apk
|
830 KiB |
|
|
|
rdrview-0.1.3-r0.apk
|
31 KiB |
|
|
|
rdrview-doc-0.1.3-r0.apk
|
3.7 KiB |
|
|
|
reaction-2.1.0-r1.apk
|
1.4 MiB |
|
|
|
reaction-openrc-2.1.0-r1.apk
|
1.8 KiB |
|
|
|
reaction-tools-2.1.0-r1.apk
|
5.1 KiB |
|
|
|
readosm-1.1.0-r3.apk
|
16 KiB |
|
|
|
readosm-dev-1.1.0-r3.apk
|
21 KiB |
|
|
|
reason-3.8.2-r1.apk
|
18 MiB |
|
|
|
reason-rtop-3.8.2-r1.apk
|
24 MiB |
|
|
|
reaver-wps-fork-t6x-1.6.6-r1.apk
|
419 KiB |
|
|
|
recoll-1.37.5-r1.apk
|
2.9 MiB |
|
|
|
recoll-dev-1.37.5-r1.apk
|
53 KiB |
|
|
|
recoll-doc-1.37.5-r1.apk
|
21 KiB |
|
|
|
redhat-fonts-4.1.0-r0.apk
|
809 KiB |
|
|
|
refine-0.5.10-r0.apk
|
33 KiB |
|
|
|
refine-lang-0.5.10-r0.apk
|
33 KiB |
|
|
|
reflex-20241231-r0.apk
|
60 KiB |
|
|
|
reflex-dev-20241231-r0.apk
|
4.7 KiB |
|
|
|
reflex-doc-20241231-r0.apk
|
37 KiB |
|
|
|
reg-0.16.1-r28.apk
|
4.8 MiB |
|
|
|
regal-0.33.1-r0.apk
|
12 MiB |
|
|
|
regal-bash-completion-0.33.1-r0.apk
|
6.1 KiB |
|
|
|
regal-fish-completion-0.33.1-r0.apk
|
4.3 KiB |
|
|
|
regal-zsh-completion-0.33.1-r0.apk
|
4.0 KiB |
|
|
|
regclient-0.8.3-r0.apk
|
14 MiB |
|
|
|
remake-1.5-r1.apk
|
147 KiB |
|
|
|
remake-dev-1.5-r1.apk
|
2.9 KiB |
|
|
|
remake-doc-1.5-r1.apk
|
202 KiB |
|
|
|
remake-make-1.5-r1.apk
|
1.5 KiB |
|
|
|
remco-0.12.5-r0.apk
|
10 MiB |
|
|
|
remco-doc-0.12.5-r0.apk
|
2.3 KiB |
|
|
|
remco-openrc-0.12.5-r0.apk
|
1.7 KiB |
|
|
|
remind-caldav-0.8.0-r4.apk
|
18 KiB |
|
|
|
remind-caldav-pyc-0.8.0-r4.apk
|
6.1 KiB |
|
|
|
repgrep-0.15.0-r0.apk
|
1.2 MiB |
|
|
|
repgrep-bash-completion-0.15.0-r0.apk
|
1.6 KiB |
|
|
|
repgrep-doc-0.15.0-r0.apk
|
6.5 KiB |
|
|
|
repgrep-fish-completion-0.15.0-r0.apk
|
4.1 KiB |
|
|
|
repgrep-zsh-completion-0.15.0-r0.apk
|
1.6 KiB |
|
|
|
repo-2.53-r0.apk
|
17 KiB |
|
|
|
repo-doc-2.53-r0.apk
|
38 KiB |
|
|
|
repowerd-2023.07-r3.apk
|
918 KiB |
|
|
|
repowerd-openrc-2023.07-r3.apk
|
1.7 KiB |
|
|
|
reprotest-0.7.29-r0.apk
|
80 KiB |
|
|
|
reprotest-pyc-0.7.29-r0.apk
|
103 KiB |
|
|
|
reredirect-0.3-r0.apk
|
9.0 KiB |
|
|
|
reredirect-doc-0.3-r0.apk
|
2.8 KiB |
|
|
|
resources-1.8.0-r1.apk
|
2.3 MiB |
|
|
|
resources-lang-1.8.0-r1.apk
|
126 KiB |
|
|
|
responder-3.1.5.0-r0.apk
|
750 KiB |
|
|
|
restart-services-0.17.0-r0.apk
|
12 KiB |
|
|
|
restart-services-doc-0.17.0-r0.apk
|
5.9 KiB |
|
|
|
restic.mk-0.4.0-r0.apk
|
2.9 KiB |
|
|
|
restinio-0.6.19-r1.apk
|
1.2 KiB |
|
|
|
restinio-dev-0.6.19-r1.apk
|
268 KiB |
|
|
|
rezolus-2.11.1-r3.apk
|
883 KiB |
|
|
|
rezolus-doc-2.11.1-r3.apk
|
3.4 KiB |
|
|
|
rezolus-openrc-2.11.1-r3.apk
|
2.1 KiB |
|
|
|
rgxg-0.1.2-r2.apk
|
15 KiB |
|
|
|
rgxg-dev-0.1.2-r2.apk
|
3.5 KiB |
|
|
|
rgxg-doc-0.1.2-r2.apk
|
12 KiB |
|
|
|
rhasspy-nlu-0.4.0-r3.apk
|
44 KiB |
|
|
|
rhasspy-nlu-pyc-0.4.0-r3.apk
|
73 KiB |
|
|
|
ri-li-2.0.1-r1.apk
|
18 MiB |
|
|
|
riemann-cli-0.8.0-r2.apk
|
532 KiB |
|
|
|
rinetd-0.73-r0.apk
|
14 KiB |
|
|
|
rinetd-doc-0.73-r0.apk
|
16 KiB |
|
|
|
rinetd-openrc-0.73-r0.apk
|
1.7 KiB |
|
|
|
ripasso-cursive-0.7.0-r0.apk
|
3.1 MiB |
|
|
|
river-luatile-0.1.4-r0.apk
|
265 KiB |
|
|
|
river-shifttags-0.2.1-r1.apk
|
5.9 KiB |
|
|
|
river-shifttags-doc-0.2.1-r1.apk
|
2.4 KiB |
|
|
|
rizin-0.8.1-r0.apk
|
2.7 MiB |
|
|
|
rizin-cutter-2.4.1-r0.apk
|
2.7 MiB |
|
|
|
rizin-cutter-dev-2.4.1-r0.apk
|
103 KiB |
|
|
|
rizin-dev-0.8.1-r0.apk
|
323 KiB |
|
|
|
rizin-doc-0.8.1-r0.apk
|
19 KiB |
|
|
|
rizin-libs-0.8.1-r0.apk
|
5.8 MiB |
|
|
|
rkdeveloptool-1.1.0-r1.apk
|
58 KiB |
|
|
|
rkdeveloptool-doc-1.1.0-r1.apk
|
3.0 KiB |
|
|
|
rke-1.4.3-r15.apk
|
21 MiB |
|
|
|
rke-doc-1.4.3-r15.apk
|
3.0 KiB |
|
|
|
rmlint-2.10.2-r2.apk
|
156 KiB |
|
|
|
rmlint-doc-2.10.2-r2.apk
|
18 KiB |
|
|
|
rmlint-lang-2.10.2-r2.apk
|
19 KiB |
|
|
|
rmlint-shredder-2.10.2-r2.apk
|
96 KiB |
|
|
|
rmlint-shredder-pyc-2.10.2-r2.apk
|
124 KiB |
|
|
|
rofi-json-menu-0.2.0-r1.apk
|
5.4 KiB |
|
|
|
rofi-pass-2.0.2-r2.apk
|
8.8 KiB |
|
|
|
rofi-pass-doc-2.0.2-r2.apk
|
5.0 KiB |
|
|
|
roll-2.6.1-r0.apk
|
13 KiB |
|
|
|
roll-bash-completion-2.6.1-r0.apk
|
1.9 KiB |
|
|
|
roll-doc-2.6.1-r0.apk
|
11 KiB |
|
|
|
rosdep-0.19.0-r6.apk
|
66 KiB |
|
|
|
rosdep-pyc-0.19.0-r6.apk
|
119 KiB |
|
|
|
rosenpass-0.2.2-r1.apk
|
978 KiB |
|
|
|
rpg-cli-1.2.0-r0.apk
|
602 KiB |
|
|
|
rpi-imager-1.9.0-r0.apk
|
718 KiB |
|
|
|
rpi-imager-doc-1.9.0-r0.apk
|
3.1 KiB |
|
|
|
rss-email-0.5.0-r0.apk
|
2.2 MiB |
|
|
|
rss-email-doc-0.5.0-r0.apk
|
6.4 KiB |
|
|
|
rsstail-2.2-r0.apk
|
8.8 KiB |
|
|
|
rsstail-doc-2.2-r0.apk
|
2.8 KiB |
|
|
|
rt5-5.0.8-r0.apk
|
17 MiB |
|
|
|
rt6-6.0.0-r0.apk
|
12 MiB |
|
|
|
rtaudio-6.0.1-r0.apk
|
44 KiB |
|
|
|
rtaudio-dev-6.0.1-r0.apk
|
64 KiB |
|
|
|
rtaudio-doc-6.0.1-r0.apk
|
194 KiB |
|
|
|
rtl-power-fftw-20200601-r4.apk
|
64 KiB |
|
|
|
rtl-power-fftw-doc-20200601-r4.apk
|
8.2 KiB |
|
|
|
rtl8812au-src-5.6.4.2_git20250530-r0.apk
|
2.6 MiB |
|
|
|
rtl8821ce-src-5_git20250331-r0.apk
|
4.3 MiB |
|
|
|
rtl88x2bu-src-5.13.1_git20230711-r0.apk
|
4.0 MiB |
|
|
|
rtmidi-6.0.0-r0.apk
|
32 KiB |
|
|
|
rtmidi-dev-6.0.0-r0.apk
|
14 KiB |
|
|
|
rtptools-1.22-r2.apk
|
30 KiB |
|
|
|
rtptools-doc-1.22-r2.apk
|
13 KiB |
|
|
|
rtw89-src-7_p20230725-r0.apk
|
759 KiB |
|
|
|
ruby-appraisal-2.5.0-r0.apk
|
11 KiB |
|
|
|
ruby-appraisal-doc-2.5.0-r0.apk
|
2.3 KiB |
|
|
|
ruby-benchmark-ips-2.14.0-r0.apk
|
14 KiB |
|
|
|
ruby-benchmark-ips-doc-2.14.0-r0.apk
|
2.3 KiB |
|
|
|
ruby-build-20250507-r0.apk
|
91 KiB |
|
|
|
ruby-build-doc-20250507-r0.apk
|
4.8 KiB |
|
|
|
ruby-build-runtime-20250507-r0.apk
|
1.3 KiB |
|
|
|
ruby-byebug-11.1.3-r0.apk
|
128 KiB |
|
|
|
ruby-coderay-1.1.3-r0.apk
|
89 KiB |
|
|
|
ruby-coderay-doc-1.1.3-r0.apk
|
2.3 KiB |
|
|
|
ruby-dry-inflector-1.1.0-r1.apk
|
8.2 KiB |
|
|
|
ruby-dry-inflector-doc-1.1.0-r1.apk
|
2.3 KiB |
|
|
|
ruby-event_emitter-0.2.6-r0.apk
|
3.1 KiB |
|
|
|
ruby-event_emitter-doc-0.2.6-r0.apk
|
2.3 KiB |
|
|
|
ruby-facter-4.9.0-r1.apk
|
218 KiB |
|
|
|
ruby-fast_gettext-3.1.0-r0.apk
|
22 KiB |
|
|
|
ruby-hashdiff-1.1.1-r1.apk
|
8.4 KiB |
|
|
|
ruby-hashdiff-doc-1.1.1-r1.apk
|
2.2 KiB |
|
|
|
ruby-libguestfs-1.52.0-r3.apk
|
105 KiB |
|
|
|
ruff-lsp-0.0.62-r0.apk
|
21 KiB |
|
|
|
ruff-lsp-pyc-0.0.62-r0.apk
|
35 KiB |
|
|
|
runst-0.1.7-r0.apk
|
1.5 MiB |
|
|
|
runst-doc-0.1.7-r0.apk
|
7.7 KiB |
|
|
|
ruri-3.8-r0.apk
|
97 KiB |
|
|
|
ruri-doc-3.8-r0.apk
|
2.2 KiB |
|
|
|
rust-script-0.35.0-r0.apk
|
920 KiB |
|
|
|
rustdesk-server-1.1.10.3-r0.apk
|
2.4 MiB |
|
|
|
rustdesk-server-openrc-1.1.10.3-r0.apk
|
2.3 KiB |
|
|
|
rustic-0.9.3-r0.apk
|
6.0 MiB |
|
|
|
rustic-bash-completion-0.9.3-r0.apk
|
8.6 KiB |
|
|
|
rustic-fish-completion-0.9.3-r0.apk
|
17 KiB |
|
|
|
rustic-zsh-completion-0.9.3-r0.apk
|
13 KiB |
|
|
|
rustscan-2.3.0-r0.apk
|
1.3 MiB |
|
|
|
ruuvi-prometheus-0.1.9-r2.apk
|
3.5 MiB |
|
|
|
ruuvi-prometheus-openrc-0.1.9-r2.apk
|
1.7 KiB |
|
|
|
rvlprog-0.91-r2.apk
|
29 KiB |
|
|
|
ry-0.5.2-r1.apk
|
4.6 KiB |
|
|
|
ry-bash-completion-0.5.2-r1.apk
|
2.0 KiB |
|
|
|
ry-zsh-completion-0.5.2-r1.apk
|
2.3 KiB |
|
|
|
rygel-0.44.2-r0.apk
|
801 KiB |
|
|
|
rygel-dev-0.44.2-r0.apk
|
43 KiB |
|
|
|
rygel-doc-0.44.2-r0.apk
|
9.6 KiB |
|
|
|
rygel-lang-0.44.2-r0.apk
|
567 KiB |
|
|
|
s-dkim-sign-0.6.2-r0.apk
|
61 KiB |
|
|
|
s-dkim-sign-doc-0.6.2-r0.apk
|
8.5 KiB |
|
|
|
s-postgray-0.8.3-r0.apk
|
50 KiB |
|
|
|
s-postgray-doc-0.8.3-r0.apk
|
9.6 KiB |
|
|
|
s5cmd-2.3.0-r3.apk
|
5.3 MiB |
|
|
|
saait-0.8-r0.apk
|
7.2 KiB |
|
|
|
saait-doc-0.8-r0.apk
|
13 KiB |
|
|
|
sacc-1.07-r0.apk
|
16 KiB |
|
|
|
sacc-doc-1.07-r0.apk
|
2.9 KiB |
|
|
|
sandbar-0.1-r1.apk
|
14 KiB |
|
|
|
satellite-1.0.0-r28.apk
|
2.3 MiB |
|
|
|
satellite-doc-1.0.0-r28.apk
|
3.0 KiB |
|
|
|
satellite-openrc-1.0.0-r28.apk
|
1.9 KiB |
|
|
|
sauerbraten-2020.12.29-r4.apk
|
934 MiB |
|
|
|
sbase-0_git20210730-r3.apk
|
119 KiB |
|
|
|
sbase-doc-0_git20210730-r3.apk
|
58 KiB |
|
|
|
sblg-0.5.11-r0.apk
|
47 KiB |
|
|
|
sblg-doc-0.5.11-r0.apk
|
1.3 MiB |
|
|
|
sblim-sfcc-2.2.8-r3.apk
|
55 KiB |
|
|
|
sblim-sfcc-dev-2.2.8-r3.apk
|
22 KiB |
|
|
|
sblim-sfcc-doc-2.2.8-r3.apk
|
35 KiB |
|
|
|
sblim-wbemcli-1.6.3-r1.apk
|
112 KiB |
|
|
|
sblim-wbemcli-doc-1.6.3-r1.apk
|
4.5 KiB |
|
|
|
sc-controller-0.5.1-r0.apk
|
1.3 MiB |
|
|
|
sc-controller-pyc-0.5.1-r0.apk
|
813 KiB |
|
|
|
sc3-plugins-3.13.0-r2.apk
|
11 MiB |
|
|
|
scalingo-1.30.0-r10.apk
|
5.6 MiB |
|
|
|
scap-workbench-1.2.1-r3.apk
|
249 KiB |
|
|
|
scap-workbench-doc-1.2.1-r3.apk
|
1.6 MiB |
|
|
|
schismtracker-20231029-r0.apk
|
368 KiB |
|
|
|
schismtracker-doc-20231029-r0.apk
|
6.2 KiB |
|
|
|
scooper-1.3-r1.apk
|
504 KiB |
|
|
|
scooper-doc-1.3-r1.apk
|
2.6 KiB |
|
|
|
screen-message-0.29-r0.apk
|
11 KiB |
|
|
|
screen-message-doc-0.29-r0.apk
|
3.7 KiB |
|
|
|
screenkey-1.5-r6.apk
|
77 KiB |
|
|
|
screenkey-doc-1.5-r6.apk
|
11 KiB |
|
|
|
screenkey-pyc-1.5-r6.apk
|
73 KiB |
|
|
|
sct-2018.12.18-r1.apk
|
3.8 KiB |
|
|
|
sdl3_image-3.2.4-r0.apk
|
78 KiB |
|
|
|
sdl3_image-dev-3.2.4-r0.apk
|
12 KiB |
|
|
|
sdl3_image-doc-3.2.4-r0.apk
|
2.1 KiB |
|
|
|
sdparm-1.12-r1.apk
|
147 KiB |
|
|
|
sdparm-doc-1.12-r1.apk
|
19 KiB |
|
|
|
seaweedfs-3.80-r5.apk
|
25 MiB |
|
|
|
seaweedfs-doc-3.80-r5.apk
|
14 KiB |
|
|
|
seaweedfs-openrc-3.80-r5.apk
|
1.9 KiB |
|
|
|
secsipidx-1.3.2-r12.apk
|
2.9 MiB |
|
|
|
secsipidx-dev-1.3.2-r12.apk
|
5.3 MiB |
|
|
|
secsipidx-libs-1.3.2-r12.apk
|
2.6 MiB |
|
|
|
sedutil-1.15.1-r1.apk
|
195 KiB |
|
|
|
sedutil-doc-1.15.1-r1.apk
|
3.1 KiB |
|
|
|
seed7-05.20240322-r0.apk
|
10 MiB |
|
|
|
seed7-doc-05.20240322-r0.apk
|
1.6 MiB |
|
|
|
seed7-nano-05.20240322-r0.apk
|
2.5 KiB |
|
|
|
seed7-vim-05.20240322-r0.apk
|
4.0 KiB |
|
|
|
sentinel-minipot-2.3.0-r1.apk
|
43 KiB |
|
|
|
sentinel-minipot-openrc-2.3.0-r1.apk
|
2.6 KiB |
|
|
|
sentinel-proxy-2.1.0-r1.apk
|
44 KiB |
|
|
|
sentinel-proxy-dev-2.1.0-r1.apk
|
4.6 KiB |
|
|
|
sentinel-proxy-openrc-2.1.0-r1.apk
|
2.2 KiB |
|
|
|
serialdv-1.1.4-r1.apk
|
7.3 KiB |
|
|
|
serialdv-dev-1.1.4-r1.apk
|
5.1 KiB |
|
|
|
serialdv-libs-1.1.4-r1.apk
|
70 KiB |
|
|
|
serie-0.4.6-r0.apk
|
840 KiB |
|
|
|
serie-doc-0.4.6-r0.apk
|
6.6 KiB |
|
|
|
setroot-2.0.2-r1.apk
|
12 KiB |
|
|
|
setroot-doc-2.0.2-r1.apk
|
4.4 KiB |
|
|
|
sfizz-1.2.3-r0.apk
|
1.8 MiB |
|
|
|
sfizz-dev-1.2.3-r0.apk
|
15 KiB |
|
|
|
sfizz-doc-1.2.3-r0.apk
|
3.0 KiB |
|
|
|
sflowtool-6.02-r0.apk
|
40 KiB |
|
|
|
sflowtool-doc-6.02-r0.apk
|
9.4 KiB |
|
|
|
sfwbar-1.0_beta16-r1.apk
|
275 KiB |
|
|
|
sfwbar-doc-1.0_beta16-r1.apk
|
26 KiB |
|
|
|
sgt-puzzles-0_git20230310-r2.apk
|
2.6 MiB |
|
|
|
shc-4.0.3-r2.apk
|
16 KiB |
|
|
|
shellinabox-2.21-r3.apk
|
118 KiB |
|
|
|
shellinabox-doc-2.21-r3.apk
|
19 KiB |
|
|
|
shellinabox-openrc-2.21-r3.apk
|
3.5 KiB |
|
|
|
shfm-0.4.2-r1.apk
|
4.0 KiB |
|
|
|
shfm-doc-0.4.2-r1.apk
|
6.1 KiB |
|
|
|
shine-3.1.1-r0.apk
|
56 KiB |
|
|
|
shipments-0.3.0-r0.apk
|
24 KiB |
|
|
|
shntool-3.0.10-r5.apk
|
56 KiB |
|
|
|
shntool-doc-3.0.10-r5.apk
|
10 KiB |
|
|
|
shutdown-clear-machine-id-1.0.0-r0.apk
|
1.8 KiB |
|
|
|
sigma-0.23.1-r1.apk
|
237 KiB |
|
|
|
sigma-pyc-0.23.1-r1.apk
|
340 KiB |
|
|
|
sigrok-cli-0.7.2-r0.apk
|
43 KiB |
|
|
|
sigrok-cli-doc-0.7.2-r0.apk
|
8.0 KiB |
|
|
|
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk
|
13 KiB |
|
|
|
silc-client-1.1.11-r18.apk
|
876 KiB |
|
|
|
silc-client-doc-1.1.11-r18.apk
|
82 KiB |
|
|
|
simgear-2024.1.1-r0.apk
|
2.4 MiB |
|
|
|
simgear-dev-2024.1.1-r0.apk
|
404 KiB |
|
|
|
simh-3.11.1-r1.apk
|
3.2 MiB |
|
|
|
simp1e-cursors-0_git20250312-r0.apk
|
447 KiB |
|
|
|
simp1e-cursors-adw-0_git20250312-r0.apk
|
478 KiB |
|
|
|
simp1e-cursors-adw-dark-0_git20250312-r0.apk
|
482 KiB |
|
|
|
simp1e-cursors-adw-dark-left-0_git20250312-r0.apk
|
485 KiB |
|
|
|
simp1e-cursors-adw-left-0_git20250312-r0.apk
|
483 KiB |
|
|
|
simp1e-cursors-breeze-0_git20250312-r0.apk
|
504 KiB |
|
|
|
simp1e-cursors-breeze-dark-0_git20250312-r0.apk
|
520 KiB |
|
|
|
simp1e-cursors-breeze-dark-left-0_git20250312-r0.apk
|
526 KiB |
|
|
|
simp1e-cursors-breeze-left-0_git20250312-r0.apk
|
509 KiB |
|
|
|
simp1e-cursors-catppuccin-frappe-0_git20250312-r0.apk
|
535 KiB |
|
|
|
simp1e-cursors-catppuccin-frappe-left-0_git20250312-r0.apk
|
548 KiB |
|
|
|
simp1e-cursors-catppuccin-latte-0_git20250312-r0.apk
|
528 KiB |
|
|
|
simp1e-cursors-catppuccin-latte-left-0_git20250312-r0.apk
|
537 KiB |
|
|
|
simp1e-cursors-catppuccin-macchiato-0_git20250312-r0.apk
|
533 KiB |
|
|
|
simp1e-cursors-catppuccin-macchiato-left-0_git20250312-r0.apk
|
544 KiB |
|
|
|
simp1e-cursors-catppuccin-mocha-0_git20250312-r0.apk
|
530 KiB |
|
|
|
simp1e-cursors-catppuccin-mocha-left-0_git20250312-r0.apk
|
540 KiB |
|
|
|
simp1e-cursors-dark-0_git20250312-r0.apk
|
484 KiB |
|
|
|
simp1e-cursors-dark-left-0_git20250312-r0.apk
|
490 KiB |
|
|
|
simp1e-cursors-doc-0_git20250312-r0.apk
|
14 KiB |
|
|
|
simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk
|
525 KiB |
|
|
|
simp1e-cursors-gruvbox-dark-left-0_git20250312-r0.apk
|
537 KiB |
|
|
|
simp1e-cursors-gruvbox-light-0_git20250312-r0.apk
|
517 KiB |
|
|
|
simp1e-cursors-gruvbox-light-left-0_git20250312-r0.apk
|
527 KiB |
|
|
|
simp1e-cursors-left-0_git20250312-r0.apk
|
448 KiB |
|
|
|
simp1e-cursors-mix-dark-0_git20250312-r0.apk
|
487 KiB |
|
|
|
simp1e-cursors-mix-dark-left-0_git20250312-r0.apk
|
492 KiB |
|
|
|
simp1e-cursors-mix-light-0_git20250312-r0.apk
|
450 KiB |
|
|
|
simp1e-cursors-mix-light-left-0_git20250312-r0.apk
|
451 KiB |
|
|
|
simp1e-cursors-nord-dark-0_git20250312-r0.apk
|
536 KiB |
|
|
|
simp1e-cursors-nord-dark-left-0_git20250312-r0.apk
|
549 KiB |
|
|
|
simp1e-cursors-nord-light-0_git20250312-r0.apk
|
518 KiB |
|
|
|
simp1e-cursors-nord-light-left-0_git20250312-r0.apk
|
525 KiB |
|
|
|
simp1e-cursors-rose-pine-0_git20250312-r0.apk
|
534 KiB |
|
|
|
simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk
|
538 KiB |
|
|
|
simp1e-cursors-rose-pine-dawn-left-0_git20250312-r0.apk
|
551 KiB |
|
|
|
simp1e-cursors-rose-pine-left-0_git20250312-r0.apk
|
545 KiB |
|
|
|
simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk
|
538 KiB |
|
|
|
simp1e-cursors-rose-pine-moon-left-0_git20250312-r0.apk
|
550 KiB |
|
|
|
simp1e-cursors-solarized-dark-0_git20250312-r0.apk
|
524 KiB |
|
|
|
simp1e-cursors-solarized-dark-left-0_git20250312-r0.apk
|
535 KiB |
|
|
|
simp1e-cursors-solarized-light-0_git20250312-r0.apk
|
531 KiB |
|
|
|
simp1e-cursors-solarized-light-left-0_git20250312-r0.apk
|
542 KiB |
|
|
|
simp1e-cursors-tokyo-night-0_git20250312-r0.apk
|
530 KiB |
|
|
|
simp1e-cursors-tokyo-night-left-0_git20250312-r0.apk
|
541 KiB |
|
|
|
simp1e-cursors-tokyo-night-light-0_git20250312-r0.apk
|
520 KiB |
|
|
|
simp1e-cursors-tokyo-night-light-left-0_git20250312-r0.apk
|
527 KiB |
|
|
|
simp1e-cursors-tokyo-night-storm-0_git20250312-r0.apk
|
534 KiB |
|
|
|
simp1e-cursors-tokyo-night-storm-left-0_git20250312-r0.apk
|
546 KiB |
|
|
|
simp1e-cursors-zenburn-0_git20250312-r0.apk
|
529 KiB |
|
|
|
simp1e-cursors-zenburn-left-0_git20250312-r0.apk
|
540 KiB |
|
|
|
simpleble-0.8.1-r0.apk
|
1.2 KiB |
|
|
|
simpleble-dev-0.8.1-r0.apk
|
24 KiB |
|
|
|
sing-box-1.11.13-r0.apk
|
12 MiB |
|
|
|
sing-box-bash-completion-1.11.13-r0.apk
|
5.1 KiB |
|
|
|
sing-box-fish-completion-1.11.13-r0.apk
|
4.4 KiB |
|
|
|
sing-box-openrc-1.11.13-r0.apk
|
2.1 KiB |
|
|
|
sing-box-zsh-completion-1.11.13-r0.apk
|
4.1 KiB |
|
|
|
sing-geoip-20250612-r0.apk
|
2.3 MiB |
|
|
|
sing-geosite-20250608120644-r0.apk
|
1.1 MiB |
|
|
|
singular-4.4.1_p2-r0.apk
|
11 MiB |
|
|
|
singular-dev-4.4.1_p2-r0.apk
|
359 KiB |
|
|
|
singular-doc-4.4.1_p2-r0.apk
|
1.3 MiB |
|
|
|
singular-emacs-4.4.1_p2-r0.apk
|
102 KiB |
|
|
|
singular-static-4.4.1_p2-r0.apk
|
5.8 MiB |
|
|
|
sipexer-1.2.0-r3.apk
|
3.0 MiB |
|
|
|
sipgrep-2.2.0-r1.apk
|
26 KiB |
|
|
|
siril-1.2.6-r1.apk
|
2.9 MiB |
|
|
|
siril-doc-1.2.6-r1.apk
|
18 KiB |
|
|
|
siril-lang-1.2.6-r1.apk
|
1.6 MiB |
|
|
|
sish-2.16.1-r5.apk
|
8.3 MiB |
|
|
|
sish-openrc-2.16.1-r5.apk
|
1.9 KiB |
|
|
|
slidge-0.1.3-r0.apk
|
145 KiB |
|
|
|
slidge-doc-0.1.3-r0.apk
|
4.5 KiB |
|
|
|
slidge-matridge-0.1.0-r0.apk
|
30 KiB |
|
|
|
slidge-matridge-openrc-0.1.0-r0.apk
|
1.5 KiB |
|
|
|
slidge-matridge-pyc-0.1.0-r0.apk
|
38 KiB |
|
|
|
slidge-openrc-0.1.3-r0.apk
|
2.3 KiB |
|
|
|
slidge-pyc-0.1.3-r0.apk
|
285 KiB |
|
|
|
sloccount-2.26-r3.apk
|
59 KiB |
|
|
|
sloccount-doc-2.26-r3.apk
|
59 KiB |
|
|
|
slurm-0.4.4-r0.apk
|
14 KiB |
|
|
|
slurm-doc-0.4.4-r0.apk
|
2.3 KiB |
|
|
|
smassh-3.1.6-r0.apk
|
72 KiB |
|
|
|
smassh-pyc-3.1.6-r0.apk
|
70 KiB |
|
|
|
smile-2.10.1-r0.apk
|
721 KiB |
|
|
|
smile-lang-2.10.1-r0.apk
|
25 KiB |
|
|
|
smplxmpp-0.9.3-r4.apk
|
156 KiB |
|
|
|
smplxmpp-doc-0.9.3-r4.apk
|
25 KiB |
|
|
|
snapper-0.12.2-r0.apk
|
1.0 MiB |
|
|
|
snapper-bash-completion-0.12.2-r0.apk
|
3.1 KiB |
|
|
|
snapper-dev-0.12.2-r0.apk
|
10 KiB |
|
|
|
snapper-doc-0.12.2-r0.apk
|
25 KiB |
|
|
|
snapper-lang-0.12.2-r0.apk
|
212 KiB |
|
|
|
snapper-zsh-completion-0.12.2-r0.apk
|
3.6 KiB |
|
|
|
snapraid-12.4-r0.apk
|
270 KiB |
|
|
|
snapraid-doc-12.4-r0.apk
|
17 KiB |
|
|
|
sndfile-tools-1.5-r1.apk
|
39 KiB |
|
|
|
sndfile-tools-doc-1.5-r1.apk
|
361 KiB |
|
|
|
snikket-sdk-0_git20250612-r0.apk
|
3.1 MiB |
|
|
|
snippets-ls-0.0.4_git20240617-r5.apk
|
1.4 MiB |
|
|
|
snore-0.3.1-r0.apk
|
4.5 KiB |
|
|
|
snore-doc-0.3.1-r0.apk
|
3.1 KiB |
|
|
|
so-0.4.10-r0.apk
|
2.0 MiB |
|
|
|
soapy-bladerf-0.4.2-r0.apk
|
51 KiB |
|
|
|
soapy-hackrf-0.3.4-r2.apk
|
31 KiB |
|
|
|
soapy-sdr-remote-0.5.2-r1.apk
|
214 KiB |
|
|
|
soapy-sdr-remote-doc-0.5.2-r1.apk
|
2.4 KiB |
|
|
|
soapy-sdr-remote-openrc-0.5.2-r1.apk
|
1.7 KiB |
|
|
|
solanum-6.0.0-r0.apk
|
260 KiB |
|
|
|
solanum-lang-6.0.0-r0.apk
|
47 KiB |
|
|
|
solarus-engine-1.7.0-r1.apk
|
2.2 MiB |
|
|
|
solarus-engine-doc-1.7.0-r1.apk
|
3.3 KiB |
|
|
|
solarus-quest-editor-1.7.0-r1.apk
|
56 MiB |
|
|
|
somebar-1.0.3-r0.apk
|
50 KiB |
|
|
|
somebar-doc-1.0.3-r0.apk
|
2.4 KiB |
|
|
|
sonicradio-0.6.15-r1.apk
|
3.2 MiB |
|
|
|
sopwith-2.5.0-r0.apk
|
48 KiB |
|
|
|
sopwith-doc-2.5.0-r0.apk
|
15 KiB |
|
|
|
sos-0.8-r31.apk
|
2.9 MiB |
|
|
|
soundconverter-4.1.0-r0.apk
|
165 KiB |
|
|
|
soundconverter-doc-4.1.0-r0.apk
|
4.7 KiB |
|
|
|
soundconverter-lang-4.1.0-r0.apk
|
107 KiB |
|
|
|
soundconverter-pyc-4.1.0-r0.apk
|
77 KiB |
|
|
|
spacectl-1.12.0-r1.apk
|
5.9 MiB |
|
|
|
spacectl-bash-completion-1.12.0-r1.apk
|
2.1 KiB |
|
|
|
spacectl-doc-1.12.0-r1.apk
|
2.3 KiB |
|
|
|
spacectl-fish-completion-1.12.0-r1.apk
|
7.1 KiB |
|
|
|
spacectl-zsh-completion-1.12.0-r1.apk
|
1.8 KiB |
|
|
|
spacer-0.3.9-r0.apk
|
942 KiB |
|
|
|
spacer-doc-0.3.9-r0.apk
|
3.1 KiB |
|
|
|
spark-2.8.3-r1.apk
|
29 MiB |
|
|
|
speedcrunch-0.12-r3.apk
|
1.2 MiB |
|
|
|
speedtest-5.2.5-r1.apk
|
254 KiB |
|
|
|
speedtest-doc-5.2.5-r1.apk
|
18 KiB |
|
|
|
speedtest-examples-5.2.5-r1.apk
|
13 KiB |
|
|
|
speedtest-go-1.1.5-r15.apk
|
5.7 MiB |
|
|
|
speedtest-go-doc-1.1.5-r15.apk
|
4.5 KiB |
|
|
|
speedtest-go-openrc-1.1.5-r15.apk
|
1.7 KiB |
|
|
|
speedtest_exporter-0.3.2-r15.apk
|
4.1 MiB |
|
|
|
speedtest_exporter-openrc-0.3.2-r15.apk
|
1.9 KiB |
|
|
|
spice-html5-0.3.0-r1.apk
|
438 KiB |
|
|
|
spike-1.1.0-r0.apk
|
1.1 MiB |
|
|
|
spin-6.5.2-r1.apk
|
324 KiB |
|
|
|
spin-doc-6.5.2-r1.apk
|
5.9 KiB |
|
|
|
spiped-1.6.2-r1.apk
|
80 KiB |
|
|
|
spiritvnc-0.6.5-r0.apk
|
49 KiB |
|
|
|
spnavcfg-1.1-r0.apk
|
39 KiB |
|
|
|
spotify-player-0.20.4-r0.apk
|
4.3 MiB |
|
|
|
spotify-tui-0.25.0-r2.apk
|
1.9 MiB |
|
|
|
spread-sheet-widget-0.10-r0.apk
|
46 KiB |
|
|
|
spread-sheet-widget-dbg-0.10-r0.apk
|
174 KiB |
|
|
|
spread-sheet-widget-dev-0.10-r0.apk
|
327 KiB |
|
|
|
spread-sheet-widget-doc-0.10-r0.apk
|
4.6 KiB |
|
|
|
spreadtrum_flash-1.20240815-r0.apk
|
38 KiB |
|
|
|
spvm-errno-0.093-r1.apk
|
17 KiB |
|
|
|
spvm-errno-doc-0.093-r1.apk
|
6.0 KiB |
|
|
|
spvm-math-1.006-r1.apk
|
23 KiB |
|
|
|
spvm-math-doc-1.006-r1.apk
|
6.9 KiB |
|
|
|
spvm-mime-base64-1.003-r1.apk
|
15 KiB |
|
|
|
spvm-mime-base64-doc-1.003-r1.apk
|
5.5 KiB |
|
|
|
spvm-thread-0.003-r1.apk
|
12 KiB |
|
|
|
spvm-thread-doc-0.003-r1.apk
|
6.0 KiB |
|
|
|
sqlar-0_git20180107-r1.apk
|
13 KiB |
|
|
|
sqlar-doc-0_git20180107-r1.apk
|
3.3 KiB |
|
|
|
sqliteodbc-0.99991-r0.apk
|
88 KiB |
|
|
|
sqlmap-1.9.6-r0.apk
|
6.8 MiB |
|
|
|
sqlmap-pyc-1.9.6-r0.apk
|
1.2 MiB |
|
|
|
sqm-scripts-1.6.0-r0.apk
|
20 KiB |
|
|
|
sqruff-0.25.26-r0.apk
|
2.0 MiB |
|
|
|
sqruff-doc-0.25.26-r0.apk
|
8.6 KiB |
|
|
|
srain-1.8.1-r0.apk
|
161 KiB |
|
|
|
srain-lang-1.8.1-r0.apk
|
35 KiB |
|
|
|
srb2-2.2.15-r1.apk
|
1.8 MiB |
|
|
|
srb2-data-2.2.15-r1.apk
|
160 MiB |
|
|
|
sregex-0.0.1-r1.apk
|
23 KiB |
|
|
|
sregex-dev-0.0.1-r1.apk
|
27 KiB |
|
|
|
ssdfs-tools-4.09-r0.apk
|
97 KiB |
|
|
|
ssdfs-tools-dev-4.09-r0.apk
|
18 KiB |
|
|
|
ssh-cert-authority-2.0.0-r26.apk
|
5.2 MiB |
|
|
|
ssh-honeypot-0.1.1-r1.apk
|
8.5 KiB |
|
|
|
ssh-honeypot-openrc-0.1.1-r1.apk
|
2.1 KiB |
|
|
|
ssh-tools-1.8-r0.apk
|
26 KiB |
|
|
|
sshs-4.7.2-r0.apk
|
743 KiB |
|
|
|
sshsrv-1.0-r12.apk
|
1023 KiB |
|
|
|
sshuttle-1.1.2-r0.apk
|
62 KiB |
|
|
|
sshuttle-doc-1.1.2-r0.apk
|
8.5 KiB |
|
|
|
sshuttle-pyc-1.1.2-r0.apk
|
101 KiB |
|
|
|
sssd-2.11.0-r0.apk
|
2.1 MiB |
|
|
|
sssd-dev-2.11.0-r0.apk
|
15 KiB |
|
|
|
sssd-openrc-2.11.0-r0.apk
|
1.7 KiB |
|
|
|
ssss-0.5.7-r0.apk
|
13 KiB |
|
|
|
ssss-doc-0.5.7-r0.apk
|
3.3 KiB |
|
|
|
sstp-client-1.0.20-r2.apk
|
39 KiB |
|
|
|
sstp-client-dev-1.0.20-r2.apk
|
5.3 KiB |
|
|
|
sstp-client-doc-1.0.20-r2.apk
|
4.9 KiB |
|
|
|
stalwart-cli-0.12.5-r0.apk
|
2.5 MiB |
|
|
|
stalwart-mail-0.12.5-r0.apk
|
17 MiB |
|
|
|
stalwart-mail-openrc-0.12.5-r0.apk
|
2.1 KiB |
|
|
|
stardict-3.0.6-r6.apk
|
977 KiB |
|
|
|
stardict-doc-3.0.6-r6.apk
|
2.2 KiB |
|
|
|
stardict-help-3.0.6-r6.apk
|
3.4 MiB |
|
|
|
stardict-lang-3.0.6-r6.apk
|
290 KiB |
|
|
|
starfighter-2.4-r0.apk
|
48 MiB |
|
|
|
starfighter-doc-2.4-r0.apk
|
22 KiB |
|
|
|
startup-2.0.3-r5.apk
|
411 KiB |
|
|
|
startup-bridge-dconf-2.0.3-r5.apk
|
30 KiB |
|
|
|
startup-bridge-udev-2.0.3-r5.apk
|
30 KiB |
|
|
|
startup-dev-2.0.3-r5.apk
|
5.8 KiB |
|
|
|
startup-doc-2.0.3-r5.apk
|
48 KiB |
|
|
|
startup-fish-completion-2.0.3-r5.apk
|
5.4 KiB |
|
|
|
startup-lang-2.0.3-r5.apk
|
16 KiB |
|
|
|
startup-tools-2.0.3-r5.apk
|
13 KiB |
|
|
|
stayrtr-0.6.2-r4.apk
|
11 MiB |
|
|
|
stayrtr-openrc-0.6.2-r4.apk
|
2.0 KiB |
|
|
|
steamguard-cli-0.9.6-r1.apk
|
2.3 MiB |
|
|
|
steamguard-cli-bash-completion-0.9.6-r1.apk
|
2.5 KiB |
|
|
|
steamguard-cli-zsh-completion-0.9.6-r1.apk
|
3.5 KiB |
|
|
|
steghide-0.5.1.1-r0.apk
|
161 KiB |
|
|
|
steghide-doc-0.5.1.1-r0.apk
|
14 KiB |
|
|
|
stern-1.32.0-r4.apk
|
19 MiB |
|
|
|
stern-bash-completion-1.32.0-r4.apk
|
5.8 KiB |
|
|
|
stern-fish-completion-1.32.0-r4.apk
|
4.3 KiB |
|
|
|
stern-zsh-completion-1.32.0-r4.apk
|
4.0 KiB |
|
|
|
sthttpd-2.27.1-r2.apk
|
62 KiB |
|
|
|
sthttpd-doc-2.27.1-r2.apk
|
18 KiB |
|
|
|
sthttpd-openrc-2.27.1-r2.apk
|
2.0 KiB |
|
|
|
stockfish-17-r0.apk
|
62 MiB |
|
|
|
stone-soup-0.32.1-r0.apk
|
33 MiB |
|
|
|
sturmreader-3.7.2-r1.apk
|
1.1 MiB |
|
|
|
sturmreader-lang-3.7.2-r1.apk
|
39 KiB |
|
|
|
stw-0.3-r0.apk
|
8.0 KiB |
|
|
|
stw-doc-0.3-r0.apk
|
2.5 KiB |
|
|
|
subdl-0_git20230616-r1.apk
|
8.7 KiB |
|
|
|
subdl-pyc-0_git20230616-r1.apk
|
14 KiB |
|
|
|
sublime-music-0.12.0-r1.apk
|
190 KiB |
|
|
|
sublime-music-pyc-0.12.0-r1.apk
|
302 KiB |
|
|
|
subliminal-2.2.1-r1.apk
|
68 KiB |
|
|
|
subliminal-pyc-2.2.1-r1.apk
|
135 KiB |
|
|
|
subtitleeditor-0.54.0-r3.apk
|
1.5 MiB |
|
|
|
subtitleeditor-dev-0.54.0-r3.apk
|
1.5 KiB |
|
|
|
subtitleeditor-doc-0.54.0-r3.apk
|
2.9 KiB |
|
|
|
sudo-ldap-1.9.17_p1-r0.apk
|
713 KiB |
|
|
|
supercollider-3.13.0-r6.apk
|
8.0 MiB |
|
|
|
supercollider-dev-3.13.0-r6.apk
|
39 KiB |
|
|
|
supermin-5.2.2-r2.apk
|
493 KiB |
|
|
|
supermin-doc-5.2.2-r2.apk
|
9.4 KiB |
|
|
|
supersonik-0.1.0-r2.apk
|
1.1 MiB |
|
|
|
surf-2.1-r3.apk
|
22 KiB |
|
|
|
surf-doc-2.1-r3.apk
|
4.6 KiB |
|
|
|
surfraw-2.3.0-r0.apk
|
79 KiB |
|
|
|
surfraw-doc-2.3.0-r0.apk
|
18 KiB |
|
|
|
suru-icon-theme-2025.05.0-r0.apk
|
2.9 MiB |
|
|
|
svgbob-0.7.6-r0.apk
|
467 KiB |
|
|
|
svls-0.2.12-r0.apk
|
3.4 MiB |
|
|
|
svls-doc-0.2.12-r0.apk
|
2.2 KiB |
|
|
|
swaks-20240103.0-r0.apk
|
66 KiB |
|
|
|
swaks-doc-20240103.0-r0.apk
|
50 KiB |
|
|
|
swappy-1.5.1-r0.apk
|
33 KiB |
|
|
|
swappy-doc-1.5.1-r0.apk
|
3.7 KiB |
|
|
|
swappy-lang-1.5.1-r0.apk
|
3.6 KiB |
|
|
|
sway-audio-idle-inhibit-0.1.2-r0.apk
|
10 KiB |
|
|
|
swayhide-0.2.1-r2.apk
|
263 KiB |
|
|
|
swhkd-1.2.1-r0.apk
|
1.1 MiB |
|
|
|
swhkd-doc-1.2.1-r0.apk
|
6.2 KiB |
|
|
|
swi-prolog-9.2.9-r0.apk
|
5.0 MiB |
|
|
|
swi-prolog-doc-9.2.9-r0.apk
|
2.1 MiB |
|
|
|
swi-prolog-pyc-9.2.9-r0.apk
|
22 KiB |
|
|
|
swi-prolog-xpce-9.2.9-r0.apk
|
922 KiB |
|
|
|
swi-prolog-xpce-doc-9.2.9-r0.apk
|
1.0 MiB |
|
|
|
sxcs-1.1.0-r0.apk
|
8.3 KiB |
|
|
|
sxcs-doc-1.1.0-r0.apk
|
2.6 KiB |
|
|
|
sydbox-3.21.3-r0.apk
|
1.4 MiB |
|
|
|
sydbox-doc-3.21.3-r0.apk
|
84 KiB |
|
|
|
sydbox-oci-3.21.3-r0.apk
|
1.8 MiB |
|
|
|
sydbox-utils-3.21.3-r0.apk
|
6.3 MiB |
|
|
|
sydbox-vim-3.21.3-r0.apk
|
5.2 KiB |
|
|
|
sylpheed-imap-notify-1.1.0-r2.apk
|
8.5 KiB |
|
|
|
symbiyosys-0.36-r0.apk
|
38 KiB |
|
|
|
symengine-0.12.0-r0.apk
|
2.9 MiB |
|
|
|
symlinks-1.4.3-r0.apk
|
6.1 KiB |
|
|
|
symlinks-doc-1.4.3-r0.apk
|
3.8 KiB |
|
|
|
sympow-2.023.7-r2.apk
|
1.8 MiB |
|
|
|
sympow-doc-2.023.7-r2.apk
|
3.1 KiB |
|
|
|
synapse-bt-1.0-r4.apk
|
1.1 MiB |
|
|
|
synapse-bt-cli-1.0-r4.apk
|
1013 KiB |
|
|
|
synapse-bt-openrc-1.0-r4.apk
|
1.8 KiB |
|
|
|
syncthing-gtk-0.9.4.5-r2.apk
|
440 KiB |
|
|
|
syncthing-gtk-doc-0.9.4.5-r2.apk
|
2.2 KiB |
|
|
|
syncthing-gtk-pyc-0.9.4.5-r2.apk
|
221 KiB |
|
|
|
t2sz-1.1.2-r0.apk
|
9.5 KiB |
|
|
|
tabby-3.1-r1.apk
|
31 KiB |
|
|
|
tabby-doc-3.1-r1.apk
|
2.3 KiB |
|
|
|
tabiew-0.7.1-r0.apk
|
7.7 MiB |
|
|
|
tachyon-0.99_beta6-r1.apk
|
116 KiB |
|
|
|
tachyon-scenes-0.99_beta6-r1.apk
|
1.9 MiB |
|
|
|
tailspin-5.4.2-r0.apk
|
1.2 MiB |
|
|
|
tailspin-bash-completion-5.4.2-r0.apk
|
2.2 KiB |
|
|
|
tailspin-doc-5.4.2-r0.apk
|
3.0 KiB |
|
|
|
tailspin-fish-completion-5.4.2-r0.apk
|
2.1 KiB |
|
|
|
tailspin-zsh-completion-5.4.2-r0.apk
|
2.5 KiB |
|
|
|
tang-15-r0.apk
|
16 KiB |
|
|
|
tang-dbg-15-r0.apk
|
31 KiB |
|
|
|
tang-doc-15-r0.apk
|
21 KiB |
|
|
|
tang-openrc-15-r0.apk
|
1.9 KiB |
|
|
|
tangara-companion-0.4.3-r0.apk
|
1.0 MiB |
|
|
|
tangctl-0_git20241007-r4.apk
|
2.7 MiB |
|
|
|
tanidvr-1.4.1-r2.apk
|
23 KiB |
|
|
|
tanidvr-dhav2mkv-1.4.1-r2.apk
|
12 KiB |
|
|
|
tanka-0.32.0-r0.apk
|
4.5 MiB |
|
|
|
tartube-2.5.0-r1.apk
|
2.7 MiB |
|
|
|
tartube-pyc-2.5.0-r1.apk
|
1.1 MiB |
|
|
|
taskcafe-0.3.6-r13.apk
|
14 MiB |
|
|
|
taskcafe-openrc-0.3.6-r13.apk
|
1.8 KiB |
|
|
|
taskwarrior-tui-0.26.3-r0.apk
|
1.2 MiB |
|
|
|
taskwarrior-tui-bash-completion-0.26.3-r0.apk
|
2.0 KiB |
|
|
|
taskwarrior-tui-doc-0.26.3-r0.apk
|
3.9 KiB |
|
|
|
taskwarrior-tui-fish-completion-0.26.3-r0.apk
|
1.7 KiB |
|
|
|
tauri-cli-2.4.0-r0.apk
|
7.5 MiB |
|
|
|
tayga-0.9.5-r0.apk
|
29 KiB |
|
|
|
tayga-doc-0.9.5-r0.apk
|
6.1 KiB |
|
|
|
tcl-curl-7.22.0-r0.apk
|
32 KiB |
|
|
|
tcl-curl-doc-7.22.0-r0.apk
|
38 KiB |
|
|
|
tcl9-9.0.2-r0.apk
|
1.9 MiB |
|
|
|
tcl9-dev-9.0.2-r0.apk
|
184 KiB |
|
|
|
tcl9-doc-9.0.2-r0.apk
|
1.4 MiB |
|
|
|
tdrop-0.5.0-r0.apk
|
12 KiB |
|
|
|
tdrop-doc-0.5.0-r0.apk
|
8.9 KiB |
|
|
|
tealdeer-1.7.2-r0.apk
|
905 KiB |
|
|
|
tealdeer-bash-completion-1.7.2-r0.apk
|
2.0 KiB |
|
|
|
tealdeer-fish-completion-1.7.2-r0.apk
|
2.2 KiB |
|
|
|
tealdeer-zsh-completion-1.7.2-r0.apk
|
2.3 KiB |
|
|
|
telegram-bot-api-9.0-r0.apk
|
7.4 MiB |
|
|
|
telegram-tdlib-1.8.47-r0.apk
|
7.4 MiB |
|
|
|
telegram-tdlib-dev-1.8.47-r0.apk
|
182 KiB |
|
|
|
telegram-tdlib-static-1.8.47-r0.apk
|
20 MiB |
|
|
|
templ-0.3.850-r2.apk
|
4.9 MiB |
|
|
|
tenv-4.7.6-r0.apk
|
9.9 MiB |
|
|
|
tenv-bash-completion-4.7.6-r0.apk
|
6.1 KiB |
|
|
|
tenv-fish-completion-4.7.6-r0.apk
|
4.3 KiB |
|
|
|
tenv-zsh-completion-4.7.6-r0.apk
|
4.0 KiB |
|
|
|
tere-1.6.0-r0.apk
|
1021 KiB |
|
|
|
tere-doc-1.6.0-r0.apk
|
14 KiB |
|
|
|
termbox-1.1.2-r1.apk
|
11 KiB |
|
|
|
termbox-dev-1.1.2-r1.apk
|
5.7 KiB |
|
|
|
termbox-static-1.1.2-r1.apk
|
12 KiB |
|
|
|
termcolor-2.1.0-r0.apk
|
1.5 KiB |
|
|
|
termcolor-dev-2.1.0-r0.apk
|
6.8 KiB |
|
|
|
terminology-1.14.0-r0.apk
|
2.7 MiB |
|
|
|
terminology-doc-1.14.0-r0.apk
|
9.0 KiB |
|
|
|
terminology-lang-1.14.0-r0.apk
|
143 KiB |
|
|
|
termusic-mpv-0.7.11-r0.apk
|
6.0 MiB |
|
|
|
texlab-5.19.0-r0.apk
|
8.6 MiB |
|
|
|
tfupdate-0.8.2-r6.apk
|
5.1 MiB |
|
|
|
tfupdate-doc-0.8.2-r6.apk
|
2.3 KiB |
|
|
|
theforceengine-1.09.540-r1.apk
|
7.0 MiB |
|
|
|
theforceengine-doc-1.09.540-r1.apk
|
6.3 MiB |
|
|
|
thefuck-3.32-r5.apk
|
83 KiB |
|
|
|
thefuck-pyc-3.32-r5.apk
|
156 KiB |
|
|
|
thelounge-4.4.3-r0.apk
|
28 MiB |
|
|
|
thelounge-doc-4.4.3-r0.apk
|
2.3 KiB |
|
|
|
thelounge-openrc-4.4.3-r0.apk
|
2.0 KiB |
|
|
|
theme.sh-1.1.5-r0.apk
|
39 KiB |
|
|
|
theme.sh-doc-1.1.5-r0.apk
|
2.3 KiB |
|
|
|
thermald-2.5.9-r0.apk
|
181 KiB |
|
|
|
thermald-doc-2.5.9-r0.apk
|
8.7 KiB |
|
|
|
thermald-openrc-2.5.9-r0.apk
|
1.8 KiB |
|
|
|
throttled-0.10.0-r1.apk
|
15 KiB |
|
|
|
throttled-openrc-0.10.0-r1.apk
|
1.6 KiB |
|
|
|
throttled-pyc-0.10.0-r1.apk
|
28 KiB |
|
|
|
thumbdrives-0.3.2-r2.apk
|
11 KiB |
|
|
|
thunar-gtkhash-plugin-1.5-r0.apk
|
26 KiB |
|
|
|
thunarx-python-0.5.2-r2.apk
|
10 KiB |
|
|
|
thunarx-python-doc-0.5.2-r2.apk
|
25 KiB |
|
|
|
tick-1.2.2-r0.apk
|
11 KiB |
|
|
|
tick-doc-1.2.2-r0.apk
|
5.5 KiB |
|
|
|
ticker-4.8.1-r2.apk
|
4.2 MiB |
|
|
|
ticker-bash-completion-4.8.1-r2.apk
|
4.6 KiB |
|
|
|
ticker-fish-completion-4.8.1-r2.apk
|
3.9 KiB |
|
|
|
ticker-zsh-completion-4.8.1-r2.apk
|
3.7 KiB |
|
|
|
timeshift-24.06.6-r0.apk
|
473 KiB |
|
|
|
timeshift-doc-24.06.6-r0.apk
|
3.2 KiB |
|
|
|
timeshift-lang-24.06.6-r0.apk
|
917 KiB |
|
|
|
timew-1.4.3-r1.apk
|
294 KiB |
|
|
|
timew-bash-completion-1.4.3-r1.apk
|
2.8 KiB |
|
|
|
timew-doc-1.4.3-r1.apk
|
53 KiB |
|
|
|
timewarrior-1.7.1-r0.apk
|
290 KiB |
|
|
|
timewarrior-doc-1.7.1-r0.apk
|
22 KiB |
|
|
|
timoni-0.23.0-r5.apk
|
25 MiB |
|
|
|
timoni-bash-completion-0.23.0-r5.apk
|
8.0 KiB |
|
|
|
timoni-doc-0.23.0-r5.apk
|
338 KiB |
|
|
|
timoni-fish-completion-0.23.0-r5.apk
|
4.3 KiB |
|
|
|
timoni-zsh-completion-0.23.0-r5.apk
|
4.0 KiB |
|
|
|
tintin-2.02.31-r0.apk
|
1.8 MiB |
|
|
|
tinyemu-2019.12.21-r0.apk
|
140 KiB |
|
|
|
tinygltf-2.9.6-r0.apk
|
158 KiB |
|
|
|
tinygltf-dev-2.9.6-r0.apk
|
57 KiB |
|
|
|
tinyscheme-1.42-r1.apk
|
63 KiB |
|
|
|
tiptop-2.3.1-r2.apk
|
34 KiB |
|
|
|
tiptop-doc-2.3.1-r2.apk
|
7.1 KiB |
|
|
|
tk9-9.0.2-r0.apk
|
838 KiB |
|
|
|
tk9-dev-9.0.2-r0.apk
|
81 KiB |
|
|
|
tk9-doc-9.0.2-r0.apk
|
1.3 MiB |
|
|
|
tldr-python-client-3.3.0-r0.apk
|
12 KiB |
|
|
|
tldr-python-client-doc-3.3.0-r0.apk
|
3.5 KiB |
|
|
|
tldr-python-client-pyc-3.3.0-r0.apk
|
14 KiB |
|
|
|
tmate-2.4.0-r4.apk
|
296 KiB |
|
|
|
tmate-doc-2.4.0-r4.apk
|
72 KiB |
|
|
|
tmpl-0.4.0-r11.apk
|
2.8 MiB |
|
|
|
tmpl-doc-0.4.0-r11.apk
|
2.3 KiB |
|
|
|
tmpmail-1.2.3-r2.apk
|
7.0 KiB |
|
|
|
tmpmail-doc-1.2.3-r2.apk
|
3.2 KiB |
|
|
|
tmux-resurrect-4.0.0-r0.apk
|
14 KiB |
|
|
|
tmux-resurrect-doc-4.0.0-r0.apk
|
8.4 KiB |
|
|
|
tncattach-0.1.9-r1.apk
|
23 KiB |
|
|
|
tncattach-doc-0.1.9-r1.apk
|
3.9 KiB |
|
|
|
tnef-1.4.18-r0.apk
|
25 KiB |
|
|
|
tnef-doc-1.4.18-r0.apk
|
4.2 KiB |
|
|
|
toapk-1.0-r0.apk
|
11 KiB |
|
|
|
today-6.2.1-r0.apk
|
3.2 KiB |
|
|
|
today-doc-6.2.1-r0.apk
|
3.3 KiB |
|
|
|
toml2json-1.3.1-r0.apk
|
370 KiB |
|
|
|
toml2json-doc-1.3.1-r0.apk
|
3.3 KiB |
|
|
|
topgit-0.19.13-r1.apk
|
127 KiB |
|
|
|
topgit-bash-completion-0.19.13-r1.apk
|
4.0 KiB |
|
|
|
topgit-doc-0.19.13-r1.apk
|
73 KiB |
|
|
|
torrent-file-editor-0.3.18-r0.apk
|
376 KiB |
|
|
|
toss-1.1-r1.apk
|
11 KiB |
|
|
|
touchpad-emulator-0.3-r0.apk
|
13 KiB |
|
|
|
toybox-0.8.11-r1.apk
|
284 KiB |
|
|
|
tpm2-pkcs11-1.9.1-r0.apk
|
128 KiB |
|
|
|
tpm2-pkcs11-dev-1.9.1-r0.apk
|
1.9 KiB |
|
|
|
tpm2-pkcs11-pyc-1.9.1-r0.apk
|
69 KiB |
|
|
|
tqm-1.13.0-r0.apk
|
4.8 MiB |
|
|
|
trace-cmd-3.3.1-r1.apk
|
173 KiB |
|
|
|
trace-cmd-bash-completion-3.3.1-r1.apk
|
3.3 KiB |
|
|
|
trace-cmd-dbg-3.3.1-r1.apk
|
473 KiB |
|
|
|
trace-cmd-doc-3.3.1-r1.apk
|
171 KiB |
|
|
|
transito-0.9.1-r6.apk
|
8.6 MiB |
|
|
|
transito-doc-0.9.1-r6.apk
|
755 KiB |
|
|
|
transmission-remote-gtk-1.6.0-r0.apk
|
151 KiB |
|
|
|
transmission-remote-gtk-doc-1.6.0-r0.apk
|
4.2 KiB |
|
|
|
transmission-remote-gtk-lang-1.6.0-r0.apk
|
106 KiB |
|
|
|
trantor-1.5.18-r0.apk
|
252 KiB |
|
|
|
trantor-dev-1.5.18-r0.apk
|
34 KiB |
|
|
|
trantor-doc-1.5.18-r0.apk
|
2.6 KiB |
|
|
|
tre-0.8.0-r2.apk
|
27 KiB |
|
|
|
tre-dev-0.8.0-r2.apk
|
5.2 KiB |
|
|
|
tre-static-0.8.0-r2.apk
|
28 KiB |
|
|
|
tree-sitter-caddy-0_git20230322-r0.apk
|
70 KiB |
|
|
|
tree-sitter-caddy-doc-0_git20230322-r0.apk
|
2.3 KiB |
|
|
|
tree-sitter-clojure-0.0.12-r0.apk
|
23 KiB |
|
|
|
tree-sitter-dart-0_git20250228-r0.apk
|
99 KiB |
|
|
|
tree-sitter-git-commit-0_git20211225-r3.apk
|
13 KiB |
|
|
|
tree-sitter-git-diff-0_git20230730-r0.apk
|
10 KiB |
|
|
|
tree-sitter-git-rebase-0_git20240722-r0.apk
|
5.2 KiB |
|
|
|
tree-sitter-gleam-1.0.0-r0.apk
|
42 KiB |
|
|
|
tree-sitter-hare-0_git20230616-r1.apk
|
33 KiB |
|
|
|
tree-sitter-haskell-0.23.1-r0.apk
|
284 KiB |
|
|
|
tree-sitter-hcl-1.2.0-r0.apk
|
22 KiB |
|
|
|
tree-sitter-just-0_git20230318-r0.apk
|
14 KiB |
|
|
|
tree-sitter-make-0_git20211216-r2.apk
|
41 KiB |
|
|
|
tree-sitter-pascal-0.9.1-r0.apk
|
81 KiB |
|
|
|
tree-sitter-pascal-doc-0.9.1-r0.apk
|
2.3 KiB |
|
|
|
tree-sitter-yaml-0.7.1-r0.apk
|
37 KiB |
|
|
|
tree-sitter-yaml-doc-0.7.1-r0.apk
|
2.3 KiB |
|
|
|
tremc-0.9.3-r1.apk
|
48 KiB |
|
|
|
tremc-bash-completion-0.9.3-r1.apk
|
1.9 KiB |
|
|
|
tremc-doc-0.9.3-r1.apk
|
2.9 KiB |
|
|
|
tremc-zsh-completion-0.9.3-r1.apk
|
1.8 KiB |
|
|
|
trigger-rally-0.6.7-r3.apk
|
315 KiB |
|
|
|
trigger-rally-data-0.6.7-r3.apk
|
352 MiB |
|
|
|
trigger-rally-doc-0.6.7-r3.apk
|
28 KiB |
|
|
|
trippy-0.13.0-r0.apk
|
2.1 MiB |
|
|
|
trippy-bash-completion-0.13.0-r0.apk
|
3.2 KiB |
|
|
|
trippy-zsh-completion-0.13.0-r0.apk
|
4.8 KiB |
|
|
|
trivy-0.64.1-r0.apk
|
68 MiB |
|
|
|
tsung-1.8.0-r3.apk
|
722 KiB |
|
|
|
ttfautohint-1.8.4-r0.apk
|
28 KiB |
|
|
|
ttfautohint-dev-1.8.4-r0.apk
|
154 KiB |
|
|
|
ttfautohint-doc-1.8.4-r0.apk
|
8.1 KiB |
|
|
|
ttfautohint-gui-1.8.4-r0.apk
|
61 KiB |
|
|
|
ttfautohint-libs-1.8.4-r0.apk
|
103 KiB |
|
|
|
tty-clock-2.3_git20240104-r0.apk
|
8.4 KiB |
|
|
|
tty-clock-doc-2.3_git20240104-r0.apk
|
3.1 KiB |
|
|
|
tty-proxy-0.0.2-r28.apk
|
2.7 MiB |
|
|
|
tty-share-2.4.0-r18.apk
|
3.9 MiB |
|
|
|
ttyper-1.6.0-r0.apk
|
610 KiB |
|
|
|
tui-journal-0.10.0-r0.apk
|
1.9 MiB |
|
|
|
tui-journal-doc-0.10.0-r0.apk
|
6.9 KiB |
|
|
|
tuned-2.25.1-r2.apk
|
159 KiB |
|
|
|
tuned-bash-completion-2.25.1-r2.apk
|
1.9 KiB |
|
|
|
tuned-doc-2.25.1-r2.apk
|
79 KiB |
|
|
|
tuned-gtk-2.25.1-r2.apk
|
22 KiB |
|
|
|
tuned-openrc-2.25.1-r2.apk
|
1.7 KiB |
|
|
|
tuned-ppd-2.25.1-r2.apk
|
3.7 KiB |
|
|
|
tuned-ppd-openrc-2.25.1-r2.apk
|
1.8 KiB |
|
|
|
tuned-profiles-2.25.1-r2.apk
|
8.2 KiB |
|
|
|
tuned-profiles-compat-2.25.1-r2.apk
|
3.6 KiB |
|
|
|
tuned-pyc-2.25.1-r2.apk
|
333 KiB |
|
|
|
tuned-utils-2.25.1-r2.apk
|
11 KiB |
|
|
|
tup-0.7.11-r1.apk
|
237 KiB |
|
|
|
tup-doc-0.7.11-r1.apk
|
21 KiB |
|
|
|
tup-vim-0.7.11-r1.apk
|
2.5 KiB |
|
|
|
tuptime-5.2.4-r1.apk
|
14 KiB |
|
|
|
tuptime-doc-5.2.4-r1.apk
|
3.7 KiB |
|
|
|
tuptime-openrc-5.2.4-r1.apk
|
1.7 KiB |
|
|
|
turn-rs-3.4.0-r1.apk
|
594 KiB |
|
|
|
turn-rs-doc-3.4.0-r1.apk
|
11 KiB |
|
|
|
turn-rs-openrc-3.4.0-r1.apk
|
2.0 KiB |
|
|
|
turnstile-0.1.10-r3.apk
|
39 KiB |
|
|
|
turnstile-doc-0.1.10-r3.apk
|
5.7 KiB |
|
|
|
turnstile-openrc-0.1.10-r3.apk
|
1.8 KiB |
|
|
|
turntable-0.3.3-r0.apk
|
184 KiB |
|
|
|
turntable-lang-0.3.3-r0.apk
|
12 KiB |
|
|
|
twemproxy-0.5.0-r0.apk
|
71 KiB |
|
|
|
twemproxy-doc-0.5.0-r0.apk
|
17 KiB |
|
|
|
twiggy-0.6.0-r3.apk
|
792 KiB |
|
|
|
twinkle-1.10.3-r3.apk
|
2.6 MiB |
|
|
|
twinkle-doc-1.10.3-r3.apk
|
3.5 KiB |
|
|
|
typobuster-1.0.0-r0.apk
|
129 KiB |
|
|
|
typstyle-0.12.14-r0.apk
|
529 KiB |
|
|
|
u1db-qt-0.1.8-r0.apk
|
105 KiB |
|
|
|
uasm-2.56.2-r0.apk
|
273 KiB |
|
|
|
ubase-20200605-r3.apk
|
46 KiB |
|
|
|
ubase-doc-20200605-r3.apk
|
21 KiB |
|
|
|
ubuntu-archive-keyring-2023.11.28.1-r0.apk
|
16 KiB |
|
|
|
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk
|
2.3 KiB |
|
|
|
uclient-20241022-r0.apk
|
18 KiB |
|
|
|
uclient-dev-20241022-r0.apk
|
3.5 KiB |
|
|
|
uclient-fetch-20241022-r0.apk
|
10 KiB |
|
|
|
udpt-3.1.2-r0.apk
|
716 KiB |
|
|
|
udpt-openrc-3.1.2-r0.apk
|
1.8 KiB |
|
|
|
ueberzug-18.3.1-r0.apk
|
65 KiB |
|
|
|
ueberzug-pyc-18.3.1-r0.apk
|
64 KiB |
|
|
|
undock-0.10.0-r0.apk
|
11 MiB |
|
|
|
unicorn-2.0.1-r4.apk
|
8.5 MiB |
|
|
|
unicorn-dev-2.0.1-r4.apk
|
10 MiB |
|
|
|
unit-php81-1.34.2-r1.apk
|
31 KiB |
|
|
|
unit-php85-1.34.2-r0.apk
|
31 KiB |
|
|
|
up-0.4-r29.apk
|
1.2 MiB |
|
|
|
upterm-0.14.3-r5.apk
|
6.2 MiB |
|
|
|
upterm-bash-completion-0.14.3-r5.apk
|
5.5 KiB |
|
|
|
upterm-doc-0.14.3-r5.apk
|
6.4 KiB |
|
|
|
upterm-server-0.14.3-r5.apk
|
6.1 MiB |
|
|
|
upterm-server-openrc-0.14.3-r5.apk
|
1.9 KiB |
|
|
|
upterm-zsh-completion-0.14.3-r5.apk
|
4.0 KiB |
|
|
|
uranium-5.2.2-r3.apk
|
596 KiB |
|
|
|
urlwatch-2.28-r2.apk
|
49 KiB |
|
|
|
urlwatch-doc-2.28-r2.apk
|
33 KiB |
|
|
|
urlwatch-pyc-2.28-r2.apk
|
101 KiB |
|
|
|
usbmuxd-1.1.1-r9.apk
|
35 KiB |
|
|
|
usbmuxd-doc-1.1.1-r9.apk
|
3.0 KiB |
|
|
|
usbmuxd-udev-1.1.1-r9.apk
|
2.0 KiB |
|
|
|
usbtop-1.0-r0.apk
|
14 KiB |
|
|
|
ustr-1.0.4-r1.apk
|
54 KiB |
|
|
|
ustr-debug-1.0.4-r1.apk
|
77 KiB |
|
|
|
ustr-dev-1.0.4-r1.apk
|
91 KiB |
|
|
|
ustr-doc-1.0.4-r1.apk
|
97 KiB |
|
|
|
ustr-static-1.0.4-r1.apk
|
147 KiB |
|
|
|
utop-2.9.1-r4.apk
|
13 MiB |
|
|
|
utop-common-2.9.1-r4.apk
|
2.0 KiB |
|
|
|
utop-doc-2.9.1-r4.apk
|
5.7 KiB |
|
|
|
utop-emacs-2.9.1-r4.apk
|
13 KiB |
|
|
|
utop-full-2.9.1-r4.apk
|
13 MiB |
|
|
|
uucp-1.07-r6.apk
|
479 KiB |
|
|
|
uucp-doc-1.07-r6.apk
|
118 KiB |
|
|
|
uxn-1.0-r0.apk
|
42 KiB |
|
|
|
uxn-doc-1.0-r0.apk
|
4.2 KiB |
|
|
|
vals-0.41.2-r0.apk
|
30 MiB |
|
|
|
varnish-modules-0.24.0-r0.apk
|
39 KiB |
|
|
|
varnish-modules-doc-0.24.0-r0.apk
|
21 KiB |
|
|
|
vbindiff-3.0_beta5-r2.apk
|
21 KiB |
|
|
|
vbindiff-doc-3.0_beta5-r2.apk
|
5.5 KiB |
|
|
|
vcdimager-2.0.1-r5.apk
|
477 KiB |
|
|
|
vcdimager-dev-2.0.1-r5.apk
|
120 KiB |
|
|
|
vcdimager-doc-2.0.1-r5.apk
|
74 KiB |
|
|
|
vcsh-2.0.5-r0.apk
|
8.8 KiB |
|
|
|
vcsh-bash-completion-2.0.5-r0.apk
|
2.9 KiB |
|
|
|
vcsh-doc-2.0.5-r0.apk
|
27 KiB |
|
|
|
vcsh-zsh-completion-2.0.5-r0.apk
|
2.9 KiB |
|
|
|
vcstool-0.3.0-r5.apk
|
35 KiB |
|
|
|
vcstool-bash-completion-0.3.0-r5.apk
|
1.8 KiB |
|
|
|
vcstool-pyc-0.3.0-r5.apk
|
58 KiB |
|
|
|
vcstool-tcsh-completion-0.3.0-r5.apk
|
1.6 KiB |
|
|
|
vcstool-zsh-completion-0.3.0-r5.apk
|
1.7 KiB |
|
|
|
vdr-plugin-dvbapi-2.2.5-r0.apk
|
62 KiB |
|
|
|
vdr-plugin-dvbapi-lang-2.2.5-r0.apk
|
2.5 KiB |
|
|
|
vectoroids-1.1.0-r2.apk
|
281 KiB |
|
|
|
vectoroids-doc-1.1.0-r2.apk
|
2.3 KiB |
|
|
|
vera++-1.3.0-r10.apk
|
261 KiB |
|
|
|
vfd-configurations-0_git20230612-r0.apk
|
25 KiB |
|
|
|
vice-3.9-r0.apk
|
13 MiB |
|
|
|
vice-doc-3.9-r0.apk
|
2.2 MiB |
|
|
|
vidcutter-6.0.5.3-r0.apk
|
2.8 MiB |
|
|
|
vidcutter-doc-6.0.5.3-r0.apk
|
24 KiB |
|
|
|
vidcutter-pyc-6.0.5.3-r0.apk
|
1.9 MiB |
|
|
|
video-trimmer-25.03-r0.apk
|
388 KiB |
|
|
|
video-trimmer-lang-25.03-r0.apk
|
93 KiB |
|
|
|
viewnior-1.8-r1.apk
|
75 KiB |
|
|
|
viewnior-doc-1.8-r1.apk
|
2.1 KiB |
|
|
|
viewnior-lang-1.8-r1.apk
|
85 KiB |
|
|
|
vile-9.8z_p1-r1.apk
|
768 KiB |
|
|
|
vile-common-9.8z_p1-r1.apk
|
355 KiB |
|
|
|
vile-doc-9.8z_p1-r1.apk
|
357 KiB |
|
|
|
vim-airline-0.11-r0.apk
|
86 KiB |
|
|
|
vim-airline-doc-0.11-r0.apk
|
12 KiB |
|
|
|
vim-nerdtree-7.1.3-r0.apk
|
67 KiB |
|
|
|
vim-rust-305-r0.apk
|
20 KiB |
|
|
|
virtctl-1.5.1-r0.apk
|
16 MiB |
|
|
|
virtctl-bash-completion-1.5.1-r0.apk
|
5.1 KiB |
|
|
|
virtctl-fish-completion-1.5.1-r0.apk
|
4.3 KiB |
|
|
|
virtctl-zsh-completion-1.5.1-r0.apk
|
4.0 KiB |
|
|
|
virter-0.29.0-r0.apk
|
6.0 MiB |
|
|
|
virter-bash-completion-0.29.0-r0.apk
|
6.1 KiB |
|
|
|
virter-doc-0.29.0-r0.apk
|
15 KiB |
|
|
|
virter-fish-completion-0.29.0-r0.apk
|
4.3 KiB |
|
|
|
virter-zsh-completion-0.29.0-r0.apk
|
4.1 KiB |
|
|
|
virtualgl-3.1.3-r0.apk
|
2.0 MiB |
|
|
|
virtualgl-dev-3.1.3-r0.apk
|
6.1 KiB |
|
|
|
virtualgl-doc-3.1.3-r0.apk
|
314 KiB |
|
|
|
visidata-3.2-r0.apk
|
421 KiB |
|
|
|
visidata-doc-3.2-r0.apk
|
18 KiB |
|
|
|
visidata-pyc-3.2-r0.apk
|
841 KiB |
|
|
|
visidata-zsh-completion-3.2-r0.apk
|
9.3 KiB |
|
|
|
vit-2.3.2-r1.apk
|
80 KiB |
|
|
|
vit-pyc-2.3.2-r1.apk
|
152 KiB |
|
|
|
vkbasalt-0.3.2.10-r0.apk
|
408 KiB |
|
|
|
vkbasalt-doc-0.3.2.10-r0.apk
|
3.1 KiB |
|
|
|
vmtouch-1.3.1-r0.apk
|
14 KiB |
|
|
|
vmtouch-doc-1.3.1-r0.apk
|
8.0 KiB |
|
|
|
voikko-fi-2.5-r0.apk
|
1.6 MiB |
|
|
|
volatility3-2.11.0-r0.apk
|
562 KiB |
|
|
|
volatility3-doc-2.11.0-r0.apk
|
3.1 KiB |
|
|
|
volatility3-pyc-2.11.0-r0.apk
|
1.0 MiB |
|
|
|
volumeicon-0.5.1-r1.apk
|
45 KiB |
|
|
|
volumeicon-lang-0.5.1-r1.apk
|
3.8 KiB |
|
|
|
vym-2.9.26-r0.apk
|
2.9 MiB |
|
|
|
vym-doc-2.9.26-r0.apk
|
3.4 MiB |
|
|
|
w_scan2-1.0.17-r0.apk
|
132 KiB |
|
|
|
w_scan2-doc-1.0.17-r0.apk
|
4.2 KiB |
|
|
|
wabt-1.0.37-r0.apk
|
4.6 MiB |
|
|
|
wabt-doc-1.0.37-r0.apk
|
13 KiB |
|
|
|
waifu2x-converter-cpp-5.3.4-r8.apk
|
12 MiB |
|
|
|
wakeonlan-0.42-r0.apk
|
4.5 KiB |
|
|
|
wakeonlan-doc-0.42-r0.apk
|
7.5 KiB |
|
|
|
walk-1.13.0-r3.apk
|
3.1 MiB |
|
|
|
walk-doc-1.13.0-r3.apk
|
2.2 KiB |
|
|
|
walk-sor-0_git20190920-r1.apk
|
5.6 KiB |
|
|
|
walk-sor-doc-0_git20190920-r1.apk
|
7.8 KiB |
|
|
|
warpinator-1.8.8-r3.apk
|
216 KiB |
|
|
|
warpinator-lang-1.8.8-r3.apk
|
222 KiB |
|
|
|
warpinator-nemo-1.8.8-r3.apk
|
4.2 KiB |
|
|
|
watchbind-0.2.1-r1.apk
|
1.1 MiB |
|
|
|
watchbind-doc-0.2.1-r1.apk
|
6.6 KiB |
|
|
|
watchdog-5.16-r2.apk
|
41 KiB |
|
|
|
watchdog-doc-5.16-r2.apk
|
14 KiB |
|
|
|
watchmate-0.5.3-r0.apk
|
2.2 MiB |
|
|
|
watershot-0.2.0-r0.apk
|
1.7 MiB |
|
|
|
way-displays-1.14.0-r0.apk
|
112 KiB |
|
|
|
way-displays-doc-1.14.0-r0.apk
|
4.5 KiB |
|
|
|
way-secure-0.2.0-r0.apk
|
208 KiB |
|
|
|
way-secure-doc-0.2.0-r0.apk
|
3.0 KiB |
|
|
|
wayback-0_git20250701-r0.apk
|
9.5 KiB |
|
|
|
wayback-doc-0_git20250701-r0.apk
|
2.2 KiB |
|
|
|
wayfire-0.9.0-r0.apk
|
2.7 MiB |
|
|
|
wayfire-dev-0.9.0-r0.apk
|
130 KiB |
|
|
|
wayfire-doc-0.9.0-r0.apk
|
3.6 KiB |
|
|
|
wayfire-plugins-extra-0.9.0-r0.apk
|
592 KiB |
|
|
|
waylevel-1.0.0-r1.apk
|
306 KiB |
|
|
|
waynergy-0.0.17-r0.apk
|
46 KiB |
|
|
|
wayqt-0.3.0-r0.apk
|
110 KiB |
|
|
|
wayqt-dev-0.3.0-r0.apk
|
18 KiB |
|
|
|
wbg-1.2.0-r1.apk
|
38 KiB |
|
|
|
wch-isp-0.4.1-r2.apk
|
10 KiB |
|
|
|
wch-isp-doc-0.4.1-r2.apk
|
2.7 KiB |
|
|
|
wch-isp-udev-rules-0.4.1-r2.apk
|
1.6 KiB |
|
|
|
wcm-0.9.0-r0.apk
|
372 KiB |
|
|
|
webhookd-1.20.2-r0.apk
|
3.4 MiB |
|
|
|
webhookd-doc-1.20.2-r0.apk
|
2.3 KiB |
|
|
|
webhookd-openrc-1.20.2-r0.apk
|
2.3 KiB |
|
|
|
webtunnel-0.0.2-r1.apk
|
3.8 MiB |
|
|
|
weggli-0.2.4-r1.apk
|
824 KiB |
|
|
|
welle-cli-2.7-r0.apk
|
320 KiB |
|
|
|
welle-io-2.7-r0.apk
|
422 KiB |
|
|
|
welle-io-doc-2.7-r0.apk
|
4.0 KiB |
|
|
|
wf-config-0.9.0-r0.apk
|
120 KiB |
|
|
|
wf-config-dev-0.9.0-r0.apk
|
16 KiB |
|
|
|
wf-shell-0.9.0-r0.apk
|
6.1 MiB |
|
|
|
wf-shell-dev-0.9.0-r0.apk
|
1.7 KiB |
|
|
|
wf-shell-doc-0.9.0-r0.apk
|
3.1 KiB |
|
|
|
wgcf-2.2.26-r0.apk
|
4.1 MiB |
|
|
|
wgcf-bash-completion-2.2.26-r0.apk
|
6.1 KiB |
|
|
|
wgcf-fish-completion-2.2.26-r0.apk
|
4.3 KiB |
|
|
|
wgcf-zsh-completion-2.2.26-r0.apk
|
4.0 KiB |
|
|
|
whatsie-4.16.3-r0.apk
|
15 MiB |
|
|
|
whatsie-doc-4.16.3-r0.apk
|
2.2 KiB |
|
|
|
wiimms-iso-tools-3.04a-r1.apk
|
3.7 MiB |
|
|
|
wiimms-iso-tools-doc-3.04a-r1.apk
|
65 KiB |
|
|
|
wiimms-szs-tools-2.26a-r0.apk
|
4.9 MiB |
|
|
|
wiimms-szs-tools-doc-2.26a-r0.apk
|
50 KiB |
|
|
|
wiki-tui-0.8.2-r1.apk
|
1.5 MiB |
|
|
|
wiki-tui-doc-0.8.2-r1.apk
|
4.6 KiB |
|
|
|
wine-mono-10.0.0-r0.apk
|
80 MiB |
|
|
|
wine-staging-10.9-r0.apk
|
68 MiB |
|
|
|
wine-staging-dev-10.9-r0.apk
|
10 MiB |
|
|
|
wine-staging-doc-10.9-r0.apk
|
43 KiB |
|
|
|
winetricks-20250102-r0.apk
|
172 KiB |
|
|
|
winetricks-bash-completion-20250102-r0.apk
|
8.6 KiB |
|
|
|
winetricks-doc-20250102-r0.apk
|
3.7 KiB |
|
|
|
wiremapper-0.10.0-r0.apk
|
22 KiB |
|
|
|
witchery-0.0.3-r2.apk
|
3.2 KiB |
|
|
|
wk-adblock-0.0.4-r5.apk
|
172 KiB |
|
|
|
wk-adblock-doc-0.0.4-r5.apk
|
2.1 KiB |
|
|
|
wl-clipboard-x11-5-r3.apk
|
3.4 KiB |
|
|
|
wl-clipboard-x11-doc-5-r3.apk
|
2.9 KiB |
|
|
|
wl-gammarelay-0.1.1-r14.apk
|
1.7 MiB |
|
|
|
wl-ime-type-0.1.1-r0.apk
|
5.5 KiB |
|
|
|
wl-ime-type-doc-0.1.1-r0.apk
|
2.2 KiB |
|
|
|
wl-kbptr-0.3.0-r1.apk
|
23 KiB |
|
|
|
wl-kbptr-doc-0.3.0-r1.apk
|
4.0 KiB |
|
|
|
wl-kbptr-full-0.3.0-r1.apk
|
30 KiB |
|
|
|
wl-screenrec-0.1.7-r0.apk
|
569 KiB |
|
|
|
wl-screenrec-bash-completion-0.1.7-r0.apk
|
2.4 KiB |
|
|
|
wl-screenrec-doc-0.1.7-r0.apk
|
9.5 KiB |
|
|
|
wl-screenrec-fish-completion-0.1.7-r0.apk
|
3.2 KiB |
|
|
|
wl-screenrec-zsh-completion-0.1.7-r0.apk
|
3.7 KiB |
|
|
|
wlavu-0_git20201101-r1.apk
|
12 KiB |
|
|
|
wlclock-1.0.1-r0.apk
|
17 KiB |
|
|
|
wlclock-doc-1.0.1-r0.apk
|
3.3 KiB |
|
|
|
wlroots0.12-0.12.0-r1.apk
|
275 KiB |
|
|
|
wlroots0.12-dbg-0.12.0-r1.apk
|
862 KiB |
|
|
|
wlroots0.12-dev-0.12.0-r1.apk
|
61 KiB |
|
|
|
wlroots0.15-0.15.1-r6.apk
|
296 KiB |
|
|
|
wlroots0.15-dbg-0.15.1-r6.apk
|
1.1 MiB |
|
|
|
wlroots0.15-dev-0.15.1-r6.apk
|
70 KiB |
|
|
|
wlroots0.16-0.16.2-r0.apk
|
348 KiB |
|
|
|
wlroots0.16-dbg-0.16.2-r0.apk
|
1.2 MiB |
|
|
|
wlroots0.16-dev-0.16.2-r0.apk
|
71 KiB |
|
|
|
wlroots0.17-0.17.4-r1.apk
|
390 KiB |
|
|
|
wlroots0.17-dbg-0.17.4-r1.apk
|
1.3 MiB |
|
|
|
wlroots0.17-dev-0.17.4-r1.apk
|
77 KiB |
|
|
|
wmctrl-1.07-r1.apk
|
14 KiB |
|
|
|
wmctrl-doc-1.07-r1.apk
|
5.1 KiB |
|
|
|
wmi-client-1.3.16-r5.apk
|
2.5 MiB |
|
|
|
wok-3.0.0-r6.apk
|
157 KiB |
|
|
|
wok-doc-3.0.0-r6.apk
|
3.7 KiB |
|
|
|
wok-lang-3.0.0-r6.apk
|
16 KiB |
|
|
|
wok-pyc-3.0.0-r6.apk
|
119 KiB |
|
|
|
wol-0.7.1-r3.apk
|
25 KiB |
|
|
|
wol-doc-0.7.1-r3.apk
|
5.5 KiB |
|
|
|
wol-lang-0.7.1-r3.apk
|
8.2 KiB |
|
|
|
wolfssh-1.4.17-r0.apk
|
139 KiB |
|
|
|
wolfssh-dev-1.4.17-r0.apk
|
173 KiB |
|
|
|
wpa_actiond-1.4-r7.apk
|
11 KiB |
|
|
|
wpa_actiond-openrc-1.4-r7.apk
|
2.2 KiB |
|
|
|
wput-0.6.2-r4.apk
|
45 KiB |
|
|
|
wput-doc-0.6.2-r4.apk
|
8.2 KiB |
|
|
|
wroomd-0.1.0-r0.apk
|
1009 KiB |
|
|
|
wroomd-openrc-0.1.0-r0.apk
|
1.7 KiB |
|
|
|
wshowkeys-1.0-r0.apk
|
14 KiB |
|
|
|
wsmancli-2.6.2-r0.apk
|
18 KiB |
|
|
|
wsmancli-doc-2.6.2-r0.apk
|
3.7 KiB |
|
|
|
wtfutil-0.43.0-r13.apk
|
20 MiB |
|
|
|
x11docker-7.6.0-r1.apk
|
113 KiB |
|
|
|
x11docker-doc-7.6.0-r1.apk
|
9.4 KiB |
|
|
|
xa-2.4.1-r0.apk
|
73 KiB |
|
|
|
xa-doc-2.4.1-r0.apk
|
17 KiB |
|
|
|
xcape-1.2-r1.apk
|
7.0 KiB |
|
|
|
xcape-doc-1.2-r1.apk
|
3.1 KiB |
|
|
|
xcompmgr-1.1.10-r0.apk
|
15 KiB |
|
|
|
xcompmgr-doc-1.1.10-r0.apk
|
2.6 KiB |
|
|
|
xdg-ninja-0.2.0.2-r0.apk
|
70 KiB |
|
|
|
xed-3.8.2-r0.apk
|
1.1 MiB |
|
|
|
xed-dev-3.8.2-r0.apk
|
14 KiB |
|
|
|
xed-doc-3.8.2-r0.apk
|
971 KiB |
|
|
|
xed-lang-3.8.2-r0.apk
|
2.1 MiB |
|
|
|
xed-python-3.8.2-r0.apk
|
24 KiB |
|
|
|
xendmail-0.4.3-r0.apk
|
982 KiB |
|
|
|
xendmail-doc-0.4.3-r0.apk
|
2.5 KiB |
|
|
|
xf86-video-vboxvideo-1.0.0-r1.apk
|
17 KiB |
|
|
|
xf86-video-vboxvideo-doc-1.0.0-r1.apk
|
3.2 KiB |
|
|
|
xfce4-hamster-plugin-1.17-r0.apk
|
39 KiB |
|
|
|
xfce4-hamster-plugin-lang-1.17-r0.apk
|
5.1 KiB |
|
|
|
xfce4-mixer-4.18.1-r2.apk
|
90 KiB |
|
|
|
xfce4-mixer-doc-4.18.1-r2.apk
|
2.5 KiB |
|
|
|
xfce4-mixer-lang-4.18.1-r2.apk
|
59 KiB |
|
|
|
xfce4-panel-profiles-1.0.14-r1.apk
|
56 KiB |
|
|
|
xfce4-panel-profiles-doc-1.0.14-r1.apk
|
19 KiB |
|
|
|
xfce4-panel-profiles-lang-1.0.14-r1.apk
|
44 KiB |
|
|
|
xfd-1.1.4-r0.apk
|
14 KiB |
|
|
|
xfd-doc-1.1.4-r0.apk
|
4.9 KiB |
|
|
|
xgalaga-2.1.1.0-r1.apk
|
276 KiB |
|
|
|
xgalaga-doc-2.1.1.0-r1.apk
|
2.5 KiB |
|
|
|
xiccd-0.3.0_git20211219-r1.apk
|
18 KiB |
|
|
|
xiccd-doc-0.3.0_git20211219-r1.apk
|
3.3 KiB |
|
|
|
xisxwayland-2-r1.apk
|
4.2 KiB |
|
|
|
xisxwayland-doc-2-r1.apk
|
2.0 KiB |
|
|
|
xkb-switch-1.8.5-r1.apk
|
20 KiB |
|
|
|
xkb-switch-doc-1.8.5-r1.apk
|
2.1 KiB |
|
|
|
xlhtml-0.5.1-r0.apk
|
11 KiB |
|
|
|
xlhtml-doc-0.5.1-r0.apk
|
2.5 KiB |
|
|
|
xload-1.1.4-r0.apk
|
6.8 KiB |
|
|
|
xload-doc-1.1.4-r0.apk
|
3.2 KiB |
|
|
|
xmag-1.0.8-r0.apk
|
17 KiB |
|
|
|
xmag-doc-1.0.8-r0.apk
|
4.7 KiB |
|
|
|
xml2rfc-3.28.1-r0.apk
|
352 KiB |
|
|
|
xml2rfc-pyc-3.28.1-r0.apk
|
408 KiB |
|
|
|
xmp-4.2.0-r0.apk
|
22 KiB |
|
|
|
xmp-doc-4.2.0-r0.apk
|
5.3 KiB |
|
|
|
xmpp-dns-0.2.4-r24.apk
|
2.0 MiB |
|
|
|
xmppipe-0.16.0-r1.apk
|
16 KiB |
|
|
|
xone-src-0.3_git20230517-r0.apk
|
43 KiB |
|
|
|
xonsh-0.19.1-r0.apk
|
585 KiB |
|
|
|
xonsh-pyc-0.19.1-r0.apk
|
1.0 MiB |
|
|
|
xosview-1.24-r0.apk
|
144 KiB |
|
|
|
xosview-doc-1.24-r0.apk
|
12 KiB |
|
|
|
xsane-0.999-r2.apk
|
1.5 MiB |
|
|
|
xsane-doc-0.999-r2.apk
|
4.3 KiB |
|
|
|
xsane-lang-0.999-r2.apk
|
440 KiB |
|
|
|
xsecurelock-1.9.0-r1.apk
|
65 KiB |
|
|
|
xsecurelock-doc-1.9.0-r1.apk
|
18 KiB |
|
|
|
xsoldier-1.8-r2.apk
|
67 KiB |
|
|
|
xsoldier-doc-1.8-r2.apk
|
2.6 KiB |
|
|
|
xtensor-0.25.0-r0.apk
|
268 KiB |
|
|
|
xtl-0.7.7-r0.apk
|
111 KiB |
|
|
|
xva-img-1.5-r0.apk
|
18 KiB |
|
|
|
xvidtune-1.0.4-r0.apk
|
17 KiB |
|
|
|
xvidtune-doc-1.0.4-r0.apk
|
4.2 KiB |
|
|
|
xvile-9.8z_p1-r1.apk
|
794 KiB |
|
|
|
xvkbd-4.1-r2.apk
|
293 KiB |
|
|
|
xvkbd-doc-4.1-r2.apk
|
11 KiB |
|
|
|
xwayland-satellite-0.6-r0.apk
|
865 KiB |
|
|
|
yamkix-0.10.0-r1.apk
|
14 KiB |
|
|
|
yamkix-pyc-0.10.0-r1.apk
|
12 KiB |
|
|
|
yarn-berry-4.9.1-r0.apk
|
1.0 MiB |
|
|
|
yaru-common-23.10.0-r2.apk
|
4.5 KiB |
|
|
|
yaru-icon-theme-23.10.0-r2.apk
|
35 MiB |
|
|
|
yaru-icon-theme-bark-23.10.0-r2.apk
|
1.0 MiB |
|
|
|
yaru-icon-theme-blue-23.10.0-r2.apk
|
1.1 MiB |
|
|
|
yaru-icon-theme-magenta-23.10.0-r2.apk
|
1.1 MiB |
|
|
|
yaru-icon-theme-mate-23.10.0-r2.apk
|
1.2 MiB |
|
|
|
yaru-icon-theme-olive-23.10.0-r2.apk
|
1.1 MiB |
|
|
|
yaru-icon-theme-prussiangreen-23.10.0-r2.apk
|
1.1 MiB |
|
|
|
yaru-icon-theme-purple-23.10.0-r2.apk
|
1.1 MiB |
|
|
|
yaru-icon-theme-red-23.10.0-r2.apk
|
1.1 MiB |
|
|
|
yaru-icon-theme-sage-23.10.0-r2.apk
|
1.1 MiB |
|
|
|
yaru-icon-theme-viridian-23.10.0-r2.apk
|
1.1 MiB |
|
|
|
yaru-schemas-23.10.0-r2.apk
|
1.8 KiB |
|
|
|
yaru-shell-23.10.0-r2.apk
|
735 KiB |
|
|
|
yaru-sounds-23.10.0-r2.apk
|
676 KiB |
|
|
|
yaru-theme-23.10.0-r2.apk
|
840 KiB |
|
|
|
yaru-theme-bark-23.10.0-r2.apk
|
763 KiB |
|
|
|
yaru-theme-blue-23.10.0-r2.apk
|
764 KiB |
|
|
|
yaru-theme-hdpi-23.10.0-r2.apk
|
73 KiB |
|
|
|
yaru-theme-magenta-23.10.0-r2.apk
|
761 KiB |
|
|
|
yaru-theme-mate-23.10.0-r2.apk
|
727 KiB |
|
|
|
yaru-theme-olive-23.10.0-r2.apk
|
759 KiB |
|
|
|
yaru-theme-prussiangreen-23.10.0-r2.apk
|
760 KiB |
|
|
|
yaru-theme-purple-23.10.0-r2.apk
|
756 KiB |
|
|
|
yaru-theme-red-23.10.0-r2.apk
|
760 KiB |
|
|
|
yaru-theme-sage-23.10.0-r2.apk
|
762 KiB |
|
|
|
yaru-theme-viridian-23.10.0-r2.apk
|
760 KiB |
|
|
|
ydcv-0.7-r8.apk
|
20 KiB |
|
|
|
ydcv-pyc-0.7-r8.apk
|
11 KiB |
|
|
|
ydcv-zsh-completion-0.7-r8.apk
|
2.2 KiB |
|
|
|
yices2-2.6.5-r0.apk
|
2.3 MiB |
|
|
|
yices2-dev-2.6.5-r0.apk
|
41 KiB |
|
|
|
yices2-libs-2.6.5-r0.apk
|
880 KiB |
|
|
|
yodl-4.02.00-r1.apk
|
152 KiB |
|
|
|
yodl-doc-4.02.00-r1.apk
|
59 KiB |
|
|
|
yoshimi-2.3.3.3-r0.apk
|
6.3 MiB |
|
|
|
yoshimi-doc-2.3.3.3-r0.apk
|
4.5 MiB |
|
|
|
yosys-0.42-r1.apk
|
21 MiB |
|
|
|
yosys-dev-0.42-r1.apk
|
117 KiB |
|
|
|
youki-0.4.1-r0.apk
|
1.5 MiB |
|
|
|
youki-dbg-0.4.1-r0.apk
|
4.6 KiB |
|
|
|
youtube-tui-0.8.3-r0.apk
|
1.5 MiB |
|
|
|
youtube-viewer-3.11.6-r0.apk
|
84 KiB |
|
|
|
youtube-viewer-doc-3.11.6-r0.apk
|
41 KiB |
|
|
|
youtube-viewer-gtk-3.11.6-r0.apk
|
172 KiB |
|
|
|
ytmdl-2024.08.15.1-r1.apk
|
50 KiB |
|
|
|
ytmdl-bash-completion-2024.08.15.1-r1.apk
|
2.3 KiB |
|
|
|
ytmdl-pyc-2024.08.15.1-r1.apk
|
78 KiB |
|
|
|
ytmdl-zsh-completion-2024.08.15.1-r1.apk
|
2.1 KiB |
|
|
|
yubikey-agent-0.1.6-r12.apk
|
2.0 MiB |
|
|
|
z-1.12-r0.apk
|
4.6 KiB |
|
|
|
z-doc-1.12-r0.apk
|
3.9 KiB |
|
|
|
zafiro-icon-theme-1.3-r0.apk
|
19 MiB |
|
|
|
zapret-0.0.0_git20220125-r1.apk
|
90 KiB |
|
|
|
zapret-doc-0.0.0_git20220125-r1.apk
|
98 KiB |
|
|
|
zapret-openrc-0.0.0_git20220125-r1.apk
|
2.1 KiB |
|
|
|
zapzap-6.0.1.8-r0.apk
|
109 KiB |
|
|
|
zapzap-pyc-6.0.1.8-r0.apk
|
126 KiB |
|
|
|
zarchive-0.1.2-r2.apk
|
17 KiB |
|
|
|
zarchive-dev-0.1.2-r2.apk
|
6.8 KiB |
|
|
|
zarchive-libs-0.1.2-r2.apk
|
26 KiB |
|
|
|
zfs-src-2.3.3-r0.apk
|
33 MiB |
|
|
|
zfsbootmenu-2.3.0-r1.apk
|
128 KiB |
|
|
|
zfsbootmenu-doc-2.3.0-r1.apk
|
16 KiB |
|
|
|
zile-2.6.2-r1.apk
|
120 KiB |
|
|
|
zile-doc-2.6.2-r1.apk
|
16 KiB |
|
|
|
zita-njbridge-0.4.8-r1.apk
|
32 KiB |
|
|
|
zita-njbridge-doc-0.4.8-r1.apk
|
5.2 KiB |
|
|
|
zita-resampler-1.11.2-r0.apk
|
21 KiB |
|
|
|
zita-resampler-dev-1.11.2-r0.apk
|
3.3 KiB |
|
|
|
zita-resampler-doc-1.11.2-r0.apk
|
4.0 KiB |
|
|
|
zizmor-1.10.0-r0.apk
|
3.3 MiB |
|
|
|
zizmor-doc-1.10.0-r0.apk
|
2.3 KiB |
|
|
|
zlevis-1.3-r0.apk
|
5.2 KiB |
|
|
|
zrepl-0.6.1-r11.apk
|
7.0 MiB |
|
|
|
zrepl-bash-completion-0.6.1-r11.apk
|
4.5 KiB |
|
|
|
zrepl-openrc-0.6.1-r11.apk
|
1.7 KiB |
|
|
|
zrepl-zsh-completion-0.6.1-r11.apk
|
2.0 KiB |
|
|
|
zsh-fzf-tab-0_git20220331-r1.apk
|
16 KiB |
|
|
|
zsh-histdb-skim-0.8.6-r0.apk
|
746 KiB |
|
|
|
zsh-manydots-magic-0_git20230607-r1.apk
|
2.9 KiB |
|
|
|
zvbi-0.2.44-r0.apk
|
170 KiB |
|
|
|
zvbi-doc-0.2.44-r0.apk
|
21 KiB |
|
|
|
zycore-1.5.0-r0.apk
|
23 KiB |
|
|
|
zycore-dev-1.5.0-r0.apk
|
38 KiB |
|
|
|
zycore-doc-1.5.0-r0.apk
|
394 KiB |
|
|
|
zydis-4.1.0-r0.apk
|
205 KiB |
|
|
|
zydis-dev-4.1.0-r0.apk
|
61 KiB |
|
|
|
zydis-doc-4.1.0-r0.apk
|
1.6 MiB |
|
|